OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpGlobal
    from Rev 75 to Rev 78
    Reverse comparison

Rev 75 → Rev 78

/pkgGlobal/src/Global-p.vhdl
8,15 → 8,28
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
 
package Global is
 
constant cActivated : std_ulogic := '1';
constant cInactivated : std_ulogic := '0';
constant cnActivated : std_ulogic := '0';
constant cnInactivated : std_ulogic := '1';
constant cActivated : std_ulogic := '1';
constant cInactivated : std_ulogic := '0';
constant cnActivated : std_ulogic := '0';
constant cnInactivated : std_ulogic := '1';
 
subtype aLedBank is std_ulogic_vector(7 downto 0);
 
function LogDualis(cNumber : natural) return natural;
 
end package Global;
 
package body Global is
 
function LogDualis(cNumber : natural) return natural is
begin
return natural(log2(real(cNumber)));
end function LogDualis;
 
end package body Global;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.