OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpRs232/unitRs232Tx
    from Rev 86 to Rev 164
    Reverse comparison

Rev 86 → Rev 164

/Files.tcl
1,3 → 1,29
# SDHC-SC-Core
# Secure Digital High Capacity Self Configuring Core
#
# (C) Copyright 2010 Rainer Kastl
#
# This file is part of SDHC-SC-Core.
#
# SDHC-SC-Core is free software: you can redistribute it and/or modify it
# under the terms of the GNU Lesser General Public License as published by
# the Free Software Foundation, either version 3 of the License, or (at
# your option) any later version.
#
# SDHC-SC-Core is distributed in the hope that it will be useful, but
# WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
# General Public License for more details.
#
# You should have received a copy of the GNU Lesser General Public License
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
#
# File : Files.tcl
# Owner : Rainer Kastl
# Description :
# Links :
#
 
set pkgs {Global Global
Rs232 Rs232}
set units {Rs232 Rs232Tx {Rtl}}
/src/Rs232Tx-Rtl-ea.vhdl
1,11 → 1,28
--
-- Title: Rs232 Transmitter
-- File: Rs232Tx-Rtl-ea.vhdl
-- Author: Rainer Kastl
-- Standard: VHDL'93
-- SDHC-SC-Core
-- Secure Digital High Capacity Self Configuring Core
--
-- Description:
--
-- (C) Copyright 2010 Rainer Kastl
--
-- This file is part of SDHC-SC-Core.
--
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or (at
-- your option) any later version.
--
-- SDHC-SC-Core is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
--
-- File : Rs232Tx-Rtl-ea.vhdl
-- Owner : Rainer Kastl
-- Description : Rs232 Transmitter
-- Links :
--
 
library ieee;
use ieee.std_logic_1164.all;
/src/tbRs232Tx-Bhv-ea.vhdl
1,11 → 1,28
--
-- Title: Testbench for Rs232Tx
-- File: tbRs232Tx-Bhv-ea.vhdl
-- Author: Copyright 2010: Rainer Kastl
-- Standard: VHDL'93
-- SDHC-SC-Core
-- Secure Digital High Capacity Self Configuring Core
--
-- Description:
--
-- (C) Copyright 2010 Rainer Kastl
--
-- This file is part of SDHC-SC-Core.
--
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it
-- under the terms of the GNU Lesser General Public License as published by
-- the Free Software Foundation, either version 3 of the License, or (at
-- your option) any later version.
--
-- SDHC-SC-Core is distributed in the hope that it will be useful, but
-- WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public License
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
--
-- File : tbRs232Tx-Bhv-ea.vhdl
-- Owner : Rainer Kastl
-- Description : Testbench for Rs232 Transmitter
-- Links : Rs232Tx-Rtl-ea.vhdl
--
 
library ieee;
use ieee.std_logic_1164.all;
/sim/Rs232Tx-unattended.tcl
1,3 → 1,29
# SDHC-SC-Core
# Secure Digital High Capacity Self Configuring Core
#
# (C) Copyright 2010 Rainer Kastl
#
# This file is part of SDHC-SC-Core.
#
# SDHC-SC-Core is free software: you can redistribute it and/or modify it
# under the terms of the GNU Lesser General Public License as published by
# the Free Software Foundation, either version 3 of the License, or (at
# your option) any later version.
#
# SDHC-SC-Core is distributed in the hope that it will be useful, but
# WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
# General Public License for more details.
#
# You should have received a copy of the GNU Lesser General Public License
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
#
# File : Rs232Tx-unattended.tcl
# Owner : Rainer Kastl
# Description :
# Links :
#
 
set script Rs232Tx.tcl
 
do "../../../sim/unattended.tcl"
/sim/Rs232Tx.tcl
1,3 → 1,29
# SDHC-SC-Core
# Secure Digital High Capacity Self Configuring Core
#
# (C) Copyright 2010 Rainer Kastl
#
# This file is part of SDHC-SC-Core.
#
# SDHC-SC-Core is free software: you can redistribute it and/or modify it
# under the terms of the GNU Lesser General Public License as published by
# the Free Software Foundation, either version 3 of the License, or (at
# your option) any later version.
#
# SDHC-SC-Core is distributed in the hope that it will be useful, but
# WITHOUT ANY WARRANTY; without even the implied warranty of
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
# General Public License for more details.
#
# You should have received a copy of the GNU Lesser General Public License
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/.
#
# File : Rs232Tx.tcl
# Owner : Rainer Kastl
# Description :
# Links :
#
 
source ../Files.tcl
source ../../../sim/sim.tcl
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.