OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/pkgSd
    from Rev 100 to Rev 101
    Reverse comparison

Rev 100 → Rev 101

/src/Sd-p.vhdl
110,6 → 110,7
 
type aSdDataBusMode is (standard, wide);
type aSdDataMode is (usual, widewidth);
type aSdDataBits is (ScrBits);
 
-- Types for entities
-- between SdController and SdCmd
139,19 → 140,21
 
-- between SdController and SdData
type aSdDataFromController is record
Mode : aSdDataBusMode; -- select 1 bit or 4 bit mode
DataMode : aSdDataMode; -- select usual or wide width data
DataBlock : aSdDataBlock; -- DataBlock to send to card
Valid : std_ulogic; -- valid, when the datablock is valid and has to be sent
CheckBusy : std_ulogic; -- check for busy signaling
Mode : aSdDataBusMode; -- select 1 bit or 4 bit mode
DataMode : aSdDataMode; -- select usual or wide width data
ExpectBits : aSdDataBits; -- how many bits are expected in wide with data mode
DataBlock : aSdDataBlock; -- DataBlock to send to card
Valid : std_ulogic; -- valid, when the datablock is valid and has to be sent
CheckBusy : std_ulogic; -- check for busy signaling
end record aSdDataFromController;
 
constant cDefaultSdDataFromController : aSdDataFromController := (
Mode => standard,
DataMode => usual,
DataBlock => (others => '0'),
Valid => cInactivated,
CheckBusy => cInactivated);
Mode => standard,
DataMode => usual,
DataBlock => (others => '0'),
ExpectBits => ScrBits,
Valid => cInactivated,
CheckBusy => cInactivated);
 
type aSdDataToController is record
Ack : std_ulogic; -- gets asserted when a datablock was sent to the card

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.