OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/pkgSd
    from Rev 134 to Rev 157
    Reverse comparison

Rev 134 → Rev 157

/src/Sd-p.vhdl
172,6 → 172,7
ExpectBits : aSdDataBits; -- how many bits are expected in wide with data mode
Valid : std_ulogic; -- valid, when the datablock is valid and has to be sent
CheckBusy : std_ulogic; -- check for busy signaling
DisableRb : std_ulogic; -- disable read back: do not save read data to fifo
end record aSdDataFromController;
 
constant cDefaultSdDataFromController : aSdDataFromController := (
179,7 → 180,8
DataMode => usual,
ExpectBits => ScrBits,
Valid => cInactivated,
CheckBusy => cInactivated);
CheckBusy => cInactivated,
DisableRb => cActivated);
 
type aSpeedBits is record
HighSpeedSupported : std_ulogic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.