OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/pkgSdWb
    from Rev 119 to Rev 120
    Reverse comparison

Rev 119 → Rev 120

/src/SdWb-p.vhdl
61,7 → 61,6
type aSdControllerToSdWbSlave is record
 
ReqOperationEdge : std_ulogic; -- Request a new OperationBlock
Done : std_ulogic;
ReadData : aData;
 
end record aSdControllerToSdWbSlave;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.