OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/pkgSdWb
    from Rev 120 to Rev 122
    Reverse comparison

Rev 120 → Rev 122

/src/SdWb-p.vhdl
23,7 → 23,7
subtype aOperation is std_ulogic_vector(31 downto 0);
 
-- different valid operation values
constant cOperationRead : aOperation := (0 => '1', others => '0');
constant cOperationRead : aOperation := X"00000001";
 
 
-- addresses for register banks in SdWbSlave
52,16 → 52,16
-- ports
type aSdWbSlaveToSdController is record
 
AckOperationToggle : std_ulogic; -- every edge signals that the OperationBlock is valid
OperationBlock : aOperationBlock;
WriteData : aData; -- data to write to the card (32 bit blocks)
AckOperation : std_ulogic; -- every edge signals that the OperationBlock is valid
OperationBlock : aOperationBlock;
WriteData : aData; -- data to write to the card (32 bit blocks)
 
end record aSdWbSlaveToSdController;
 
type aSdControllerToSdWbSlave is record
 
ReqOperationEdge : std_ulogic; -- Request a new OperationBlock
ReadData : aData;
ReqOperation : std_ulogic; -- Request a new OperationBlock
ReadData : aData;
 
end record aSdControllerToSdWbSlave;
 
81,9 → 81,13
 
-- default port values
constant cDefaultSdWbSlaveToSdController : aSdWbSlaveToSdController := (
OperationBlock => cDefaultOperationBlock,
WriteData => (others => '0'),
AckOperationToggle => '0');
OperationBlock => cDefaultOperationBlock,
WriteData => (others => '0'),
AckOperation => '0');
 
constant cDefaultSdControllerToSdWbSlave : aSdControllerToSdWbSlave := (
ReqOperation => '0',
ReadData => (others => '0'));
 
end package SdWb;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.