OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/pkgSdWb
    from Rev 126 to Rev 156
    Reverse comparison

Rev 126 → Rev 156

/src/SdWb.sv
0,0 → 1,16
`ifndef SDWB_SV
`define SDWB_SV
 
`include "WbTransaction.sv";
 
const WbData cOperationRead = 'h00000001;
const WbData cOperationWrite = 'h00000010;
 
const WbAddr cOperationAddr = 'b000;
const WbAddr cStartAddrAddr = 'b001;
const WbAddr cEndAddrAddr = 'b010;
const WbAddr cReadDataAddr = 'b011;
const WbAddr cWriteDataAddr = 'b100;
 
`endif
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.