OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCardModel/src
    from Rev 166 to Rev 167
    Reverse comparison

Rev 166 → Rev 167

/SdBFM-impl.sv
129,11 → 129,26
endtask
 
task SdBFM::sendBusy();
repeat (2) @ICard.cb;
 
@ICard.cb;
ICard.cb.Data[0] <= 0;
 
// send valid status
@ICard.cb;
ICard.cb.Data[0] <= 0;
@ICard.cb;
ICard.cb.Data[0] <= 1;
@ICard.cb;
ICard.cb.Data[0] <= 0;
 
// endbit
@ICard.cb;
ICard.cb.Data[0] <= 1;
 
// 10 busy cycles
@ICard.cb;
ICard.cb.Data[0] <= 0;
repeat (10) @ICard.cb;
ICard.cb.Data[0] <= 1;
 
/SdCardModel.sv
58,7 → 58,10
end
end
 
RamActionOutMb.put(action);
if (RamActionOutMb != null)
begin
RamActionOutMb.put(action);
end
endtask
 
task setDataBlock(logic[31:0] addr, SdDataBlock block);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.