OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCardModel/src
    from Rev 45 to Rev 46
    Reverse comparison

Rev 45 → Rev 46

/SdCardModel.sv
29,8 → 29,12
} SDCardState;
 
class SDCommandToken;
logic startbit;
logic transbit;
SDCommandId id;
SDCommandArg arg;
logic[6:0] crc7;
logic endbit;
endclass
 
class SDCommandResponse;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.