OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCardModel
    from Rev 150 to Rev 151
    Reverse comparison

Rev 150 → Rev 151

/src/SdCardModel.sv
2,7 → 2,7
// file: SdCardModel.sv
// author: Rainer Kastl
//
// Models a SDCard for verification
// Models a SdCardModel for verification
//
 
`ifndef SDCARDMODEL
16,9 → 16,9
`include "SdBFM.sv";
`include "Logger.sv";
 
class SDCard;
class SdCardModel;
local SdBFM bfm;
local SDCardState state;
local SdCardModelState state;
local RCA_t rca;
local logic CCS;
local Mode_t mode;
301,7 → 301,7
endclass
 
class NoSDCard extends SDCard;
class NoSdCardModel extends SdCardModel;
 
function new(SdBFM bfm);
super.new(bfm);
/src/SdCardState.sv
2,7 → 2,7
// file: SdCardState.sv
// author: Rainer Kastl
//
// SDCardState class for use in the SdCardModel
// SdCardModelState class for use in the SdCardModel
//
 
`ifndef SDCARDSTATE
13,9 → 13,9
typedef enum {
idle = 0, ready = 1, ident = 2, stby = 3, trans = 4,
data = 5, rcv = 6, prg = 7, dis = 8
} SDCardStates;
} SdCardModelStates;
 
class SDCardState;
class SdCardModelState;
logic OutOfRange;
logic AddressError;
logic BlockLenError;
/src/SdCommand.sv
65,7 → 65,7
 
class SDCommandR1 extends DefaultSdResponse;
 
function new(int id, SDCardState state);
function new(int id, SdCardModelState state);
super.new(id, state.get());
endfunction
104,7 → 104,7
endclass
 
function SDCommandArg getArgFromRcaAndState(RCA_t rca, SDCardState state);
function SDCommandArg getArgFromRcaAndState(RCA_t rca, SdCardModelState state);
SDCommandArg arg;
arg[31:16] = rca;
arg[15] = state.ComCrcError;
122,7 → 122,7
 
class SDCommandR6 extends DefaultSdResponse;
 
function new(RCA_t rca, SDCardState state);
function new(RCA_t rca, SdCardModelState state);
super.new(cSdCmdSendRelAdr, getArgFromRcaAndState(rca, state));
endfunction
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.