OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCardModel
    from Rev 154 to Rev 157
    Reverse comparison

Rev 154 → Rev 157

/src/SdBFM-impl.sv
43,12 → 43,12
task SdBFM::sendAllDataBlocks(SdDataBlock blocks[]);
foreach(blocks[i]) begin
sendDataBlock(blocks[i]);
waitUntilReady();
//waitUntilReady(); // TODO: check pauses between transactions on the bus without waits
end
endtask
 
task SdBFM::waitUntilReady();
repeat (8) @ICard.cb;
//repeat (8) @ICard.cb;
endtask
 
task SdBFM::sendDataBlock(SdDataBlock block);
182,7 → 182,6
aCrc16 crc[4];
block = new();
 
$display("Startbits: %t", $time);
for (int j = 0; j <= 512*2; j++) begin
@ICard.cb;
for(int i = 0; i < 4; i++) begin
202,7 → 201,6
 
// end bits
@ICard.cb;
$display("Endbits: %h, %t", ICard.cb.Data, $time);
assert(ICard.cb.Data == 'b1111);
endtask
 
234,7 → 232,7
task SdBFM::start();
fork
begin
run();
//run();
end
join_none
endtask
/src/SdCardModel.sv
23,7 → 23,7
SdBfmMb SdTransOutMb;
SdBfmMb SdTransInMb;
 
local SdBFM bfm;
SdBFM bfm;
local SdCardModelState state;
local RCA_t rca;
local logic CCS;
50,8 → 50,11
log = new();
endfunction
 
function void start();
endfunction
task start();
fork
run();
join_none
endtask
 
task reset();
endtask
218,6 → 221,7
response = new(cSdCmdSendStatus, state);
this.bfm.send(response);
 
log.note("Card init done");
endtask
 
task run();

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.