OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCardModel
    from Rev 64 to Rev 89
    Reverse comparison

Rev 64 → Rev 89

/src/SdCommand.sv
160,7 → 160,25
this.arg = ocr.get();
endbit = 1;
endfunction
task automatic send(virtual ISdCmd.Card ICmd);
aCrc crc = 0;
data.push_back(startbit);
data.push_back(transbit);
for(int i = 5; i >= 0; i--)
data.push_back(id[i]);
 
for (int i = 31; i>= 0; i--)
data.push_back(arg[i]);
 
for (int i = 6; i >= 0; i--)
data.push_back(1);
 
data.push_back(endbit);
sendData(ICmd);
endtask
 
endclass
 
include "../../unitSdCardModel/src/SDCID.sv";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.