OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdClockMaster
    from Rev 124 to Rev 125
    Reverse comparison

Rev 124 → Rev 125

/src/SdClockMaster-Rtl-a.vhdl
29,6 → 29,7
else
if (iDisable = cActivated) then
SdClk <= cActivated;
Counter <= 0;
else
 
if (iHighSpeed = cActivated) then
67,7 → 68,7
 
if (iDisable = cActivated) then
oSdCardClk <= cInactivated;
oSdStrobe <= cInactivated;
oSdStrobe <= cInactivated;
 
else

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.