OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdClockMaster
    from Rev 125 to Rev 126
    Reverse comparison

Rev 125 → Rev 126

/src/SdClockMaster-Rtl-a.vhdl
13,6 → 13,7
signal Counter : natural range 0 to 3;
signal SdStrobe25MHz : std_ulogic;
signal SdStrobe50MHz : std_ulogic;
signal Disable : std_ulogic;
 
begin
 
27,29 → 28,33
Counter <= 0;
SdClk <= cInactivated;
else
if (iDisable = cActivated) then
SdClk <= cActivated;
Counter <= 0;
if (iDisable = cActivated and SdClk = cInactivated) then
Disable <= cActivated;
else
 
if (iHighSpeed = cActivated) then
if (Counter = 0 or Counter = 2) then
SdClk <= cActivated;
else
SdClk <= cInactivated;
end if;
if (Disable = cActivated and iDisable = cInactivated) then
Disable <= cInactivated;
else
if (Counter = 0 or Counter = 1) then
SdClk <= cActivated;
 
if (iHighSpeed = cActivated) then
if (Counter = 0 or Counter = 2) then
SdClk <= cActivated;
else
SdClk <= cInactivated;
end if;
else
SdClk <= cInactivated;
if (Counter = 0 or Counter = 1) then
SdClk <= cActivated;
else
SdClk <= cInactivated;
end if;
end if;
end if;
 
if (Counter < 3) then
Counter <= Counter + 1;
else
Counter <= 0;
if (Counter < 3) then
Counter <= Counter + 1;
else
Counter <= 0;
end if;
end if;
end if;
end if;
71,7 → 76,7
oSdStrobe <= cInactivated;
 
else
 
oSdCardClk <= not SdClk;
 
if (iHighSpeed = cInactivated) then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.