OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCmd/src
    from Rev 51 to Rev 54
    Reverse comparison

Rev 51 → Rev 54

/SdCmd-Rtl-ea.vhdl
49,12 → 49,12
signal Output : aSdCmdOut;
 
constant cDefaultOut : aSdCmdOut := ((cInactivated, cInactivated,
cInactivated), (Receiving => cInactivated), 'Z');
cInactivated), (Ack => cInactivated, Receiving => cInactivated), 'Z');
 
begin
 
ioCmd <= Output.Cmd;
oToController.Receiving <= cInactivated;
oToController <= Output.Controller;
 
-- State register
CmdStateReg : process (iClk, inResetAsync)
128,7 → 128,12
 
when crc =>
Output.Cmd <= SerialCrc;
NextStateWhenAllSent(0, endbit);
if (Counter > 0) then
NextCounter <= Counter - 1;
else
NextState <= endbit;
Output.Controller.Ack <= cActivated;
end if;
 
when endbit =>
Output.Cmd <= cSdEndBit;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.