OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCmd
    from Rev 56 to Rev 57
    Reverse comparison

Rev 56 → Rev 57

/src/SdCmd-Rtl-ea.vhdl
51,7 → 51,8
 
constant cDefaultOut : aSdCmdOut := ((cInactivated, cInactivated,cInactivated),
(Ack => cInactivated, Receiving => cInactivated, Valid => cInactivated,
CmdContent => (id => (others => '0'), arg => (others => '0'))), 'Z');
Content => (id => (others => '0'), arg => (others => '0')), Err =>
cInactivated), 'Z');
 
signal ReceivedToken, NextReceivedToken : aSdCmdToken;
 
115,7 → 116,7
NextCounter <= Counter;
NextReceivedToken <= ReceivedToken;
Output <= cDefaultOut;
Output.Controller.CmdContent <= ReceivedToken.content;
Output.Controller.Content <= ReceivedToken.content;
 
case State is
when idle =>
192,8 → 193,10
NextReceivedToken.endbit <= ioCmd;
 
-- check
if (CrcCorrect = cActivated) then
if (CrcCorrect = cActivated and ReceivedToken.transbit = cSdTransBitSlave) then
Output.Controller.Valid <= cActivated;
else
Output.Controller.Err <= cActivated;
end if;
NextState <= idle;
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.