OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCmd
    from Rev 62 to Rev 66
    Reverse comparison

Rev 62 → Rev 66

/src/SdCmd-Rtl-ea.vhdl
84,7 → 84,7
 
-- Comb. process
NextStateAndOutput : process (iFromController, ioCmd, SerialCrc, CrcCorrect,
State, Counter, ReceivedToken)
State, Counter, ReceivedToken, Cid)
 
procedure NextStateWhenAllSent (constant nextlength : in natural; constant toState : in aSdCmdState) is
begin
110,7 → 110,7
NextStateWhenAllSent(nextlength, toState);
end procedure SendBitsAndCalcCrc;
 
procedure RecvBitsAndCalcCrc (signal container : inout std_ulogic_vector;
procedure RecvBitsAndCalcCrc (signal container : out std_ulogic_vector;
constant toState : in aSdCmdState; constant nextlength : in natural) is
begin
container(to_integer(Counter)) <= ioCmd;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.