OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdCmd
    from Rev 89 to Rev 92
    Reverse comparison

Rev 89 → Rev 92

/src/SdCmd-Rtl-ea.vhdl
1,11 → 1,14
-------------------------------------------------
-- file: SdCmd-ea.vhdl
-- author: Rainer Kastl
--
-- Low level sending commands and receiving responses
-- Title: SdCmd
-- File: SdCmd-Rtl-ea.vhdl
-- Author: Copyright 2010: Rainer Kastl
-- Standard: VHDL'93
--
-- Description: Low level sending commands and receiving responses
-- SD Spec 2.00
-------------------------------------------------
--
 
 
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.