OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdController/src
    from Rev 100 to Rev 101
    Reverse comparison

Rev 100 → Rev 101

/SdController-Rtl-a.vhdl
306,6 → 306,7
when CheckBusWidth =>
if (R.SendCMD55 = cInactivated) then
NextR.ToSdData.DataMode <= widewidth;
NextR.ToSdData.ExpectBits <= ScrBits;
 
case R.Region is
when send =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.