OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdData
    from Rev 147 to Rev 150
    Reverse comparison

Rev 147 → Rev 150

/src/SdData-Rtl-a.vhdl
334,7 → 334,7
case R.Mode is
when wide =>
for i in 0 to 3 loop
temp(i) := R.Word(to_integer(RBitInWordC) - i);
temp(3 - i) := R.Word(to_integer(RBitInWordC) - i);
end loop;
 
when standard =>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.