OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdTop/src
    from Rev 164 to Rev 165
    Reverse comparison

Rev 164 → Rev 165

/SdTop-Rtl-ea.vhdl
40,8 → 40,8
);
port (
-- Wishbone interface
iWbClk : in std_ulogic;
iRstSync : in std_ulogic;
iWbClk : in std_ulogic;
iWbRstSync : in std_ulogic;
 
iCyc : in std_ulogic;
iLock : in std_ulogic;
60,8 → 60,7
 
-- Sd interface
iSdClk : in std_ulogic;
inResetAsync : in std_ulogic;
 
iSdRstSync : in std_ulogic;
-- SD Card
ioCmd : inout std_logic;
oSclk : out std_ulogic;
141,9 → 140,10
gUseSameClocks => gUseSameClocks
)
port map (
iRstSync => iRstSync,
iWbClk => iWbClk,
iWbRstSync => iWbRstSync,
iSdClk => iSdClk,
iSdRstSync => iSdRstSync,
iSdWb => iSdWbSync,
oSdWb => oSdWbSync,
iSdController => iSdControllerSync,
153,7 → 153,7
SdWbSlave_inst : entity work.SdWbSlave
port map (
iClk => iWbClk,
iRstSync => iRstSync,
iRstSync => iWbRstSync,
 
-- wishbone
iWbCtrl => iWbCtrl,
181,7 → 181,7
)
port map (
iClk => iSdClk,
inResetAsync => inResetAsync,
iRstSync => iSdRstSync,
oHighSpeed => HighSpeed,
iSdCmd => SdCmdToController,
oSdCmd => SdCmdFromController,
195,7 → 195,7
SdCmd_inst: entity work.SdCmd(Rtl)
port map (
iClk => iSdClk,
inResetAsync => inResetAsync,
iRstSync => iSdRstSync,
iStrobe => SdStrobe,
iFromController => SdCmdFromController,
oToController => SdCmdToController,
206,7 → 206,7
SdData_inst: entity work.SdData
port map (
iClk => iSdClk,
inResetAsync => inResetAsync,
iRstSync => iSdRstSync,
iStrobe => SdStrobe,
iSdDataFromController => SdDataFromController,
oSdDataToController => SdDataToController,
225,7 → 225,7
)
port map (
iClk => iSdClk,
iRstSync => iRstSync,
iRstSync => iSdRstSync,
iHighSpeed => HighSpeed,
iDisable => DisableSdClk,
oSdStrobe => SdStrobe,
237,7 → 237,7
port map (
 
iClk => iSdClk,
iRstSync => iRstSync,
iRstSync => iSdRstSync,
iStrobe => SdInStrobe,
iCmd => ioCmd,
iData => ioData,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.