OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdTop/src
    from Rev 94 to Rev 95
    Reverse comparison

Rev 94 → Rev 95

/SdTop-Rtl-ea.vhdl
47,6 → 47,8
inResetAsync => inResetAsync,
iSdCmd => SdCmdToController,
oSdCmd => SdCmdFromController,
iSdData => SdDataToController,
oSdData => SdDataFromController,
oSdRegisters => SdRegisters,
oLedBank => oLedBank
);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.