OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitSdVerificationTestbench/sim
    from Rev 84 to Rev 85
    Reverse comparison

Rev 84 → Rev 85

/wave.do
20,8 → 20,27
add wave -noupdate -format Literal /Testbed/top/sdcmd_inst/nextr
add wave -noupdate -format Literal /Testbed/top/sdcmd_inst/o
add wave -noupdate -format Literal /Testbed/top/sdcmd_inst/nexto
add wave -noupdate -divider controller
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/iclk
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/inresetasync
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/isdcmd
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/osdcmd
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/oledbank
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/r
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/nextr
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutenable
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeout
add wave -noupdate -divider timeout
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/gclkfrequency
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/gtimeouttime
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/iclk
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/inresetasync
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/ienable
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/otimeout
add wave -noupdate -format Literal /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/counter
add wave -noupdate -format Logic /Testbed/top/sdcontroller_inst/timeoutgenerator_inst/enabled
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {1040 ns} 0}
WaveRestoreCursors {{Cursor 1} {0 ns} 0}
configure wave -namecolwidth 150
configure wave -valuecolwidth 100
configure wave -justifyvalue left
/SdVerificationTestbench.tcl
1,32 → 1,2
set pkgs {Global Global Sd Sd Crc CRCs}
set units {Crc Crc {Rtl} Sd SdCmd {Rtl} Sd SdController {Rtl} Sd SdTop {Rtl}}
set svunits {Sd SdCardModel Sd SdVerificationTestbench}
#set tb
#set tbarch
set top Testbed
 
vlib work
vmap work work
 
foreach {grp pkg} $pkgs {
vcom ../../../grp$grp/pkg$pkg/src/$pkg-p.vhdl
}
 
foreach {grp en arch} $units {
vcom ../../../grp$grp/unit$en/src/$en-$arch-ea.vhdl
}
 
foreach {grp unit} $svunits {
vlog ../../../grp$grp/unit$unit/src/$unit.sv
}
 
if ([info exists tb]) {
vcom ../../unit$tb/src/tb$tb-$tbarch-ea.vhdl
}
 
if ([info exists top]) {
vsim $top
do wave.do
run -all
}
 
source ../Files.tcl
source ../../../sim/sim.tcl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.