OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitTbdSd/src
    from Rev 164 to Rev 165
    Reverse comparison

Rev 164 → Rev 165

/TbdSd.sv
33,8 → 33,9
initial begin
SdBusTransToken token;
SdBFM SdBfm = new(SdBus);
SdCardModel card = new(SdBfm);
SdCardModel card = new();
assert(card.randomize());
card.bfm = SdBfm;
 
fork
begin // generator
/TbdSd-Rtl-ea.vhdl
141,7 → 141,6
oRty => oRty,
 
iSdClk => iClk,
inResetAsync => inResetAsync,
ioCmd => ioCmd,
oSclk => oSclk,
ioData => ioData,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.