OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitTbdSd/src
    from Rev 94 to Rev 96
    Reverse comparison

Rev 94 → Rev 96

/TbdSd-Rtl-ea.vhdl
59,8 → 59,17
DataAvailable : std_ulogic;
end record aReg;
 
signal R, NextR : aReg;
signal ReceivedContent : aSdCmdContent;
constant cDefaultReg : aReg := (
State => id,
Counter => 3,
ReceivedContent => cDefaultSdCmdContent,
ValidContent => cDefaultSdCmdContent,
Data => (others => '0'),
DataAvailable => cInactivated);
 
signal R : aReg := cDefaultReg;
signal NextR : aReg;
signal ReceivedContent : aSdCmdContent;
signal oReceivedContentValid : std_ulogic;
 
begin
76,12 → 85,7
Rs232_Send : process (iClk, inResetAsync)
begin
if (inResetAsync = cnActivated) then
R.State <= id;
R.Counter <= 3;
R.ReceivedContent <= cDefaultSdCmdContent;
R.ValidContent <= cDefaultSdCmdContent;
R.Data <= (others => '0');
R.DataAvailable <= cInactivated;
R <= cDefaultReg;
 
elsif (iClk'event and iClk = cActivated) then
R <= NextR;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.