OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSd/unitTbdSd/syn
    from Rev 74 to Rev 75
    Reverse comparison

Rev 74 → Rev 75

/Pins.tcl
12,9 → 12,14
oIcs307Sclk C10 0
oIcs307Data C9 0
oIcs307Strobe E9 0
oLEDIdle Y5 0
oLEDInvalid Y6 0
oLEDACMD41 W5 0
oLedBank[0] Y6 0
oLedBank[1] W5 0
oLedBank[2] Y5 0
oLedBank[3] Y7 0
oLedBank[4] V8 0
oLedBank[5] W8 0
oLedBank[6] Y9 0
oLedBank[7] W7 0
oDigitAdr[3] Y3 0
oDigitAdr[2] Y4 0
oDigitAdr[1] W4 0
/TbdSdsyn.tcl
63,7 → 63,10
set_global_assignment -name ENABLE_DRC_SETTINGS OFF
set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
# Generate RBF
set_global_assignment -name GENERATE_RBF_FILE ON
set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION OFF
 
source Pins.tcl

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.