OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src/grpSynchronization/unitSynchronizer
    from Rev 122 to Rev 126
    Reverse comparison

Rev 122 → Rev 126

/src/Synchronizer-Rtl-ea.vhdl
38,7 → 38,7
SyncReg : process (iToClk, inResetAsync)
begin
-- asynchronous reset
if (inResetAsync = cActivated) then
if (inResetAsync = cnActivated) then
Sync <= (others => '0');
 
elsif (rising_edge(iToClk)) then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.