OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk/src
    from Rev 145 to Rev 146
    Reverse comparison

Rev 145 → Rev 146

/grpSd/unitSdClockMaster/src/SdClockMaster-Rtl-a.vhdl
74,7 → 74,7
 
when cActivated => -- High-Speed mode
NxR.Clk <= R.Counter(0);
NxR.Strobe <= not R.Counter(0);
NxR.Strobe <= R.Counter(0);
 
when others =>
NxR.Clk <= 'X';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.