OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core/trunk
    from Rev 10 to Rev 11
    Reverse comparison

Rev 10 → Rev 11

/src/grpCrc/unitCrc/src/tbCrc-bhv-ea.vhdl
104,7 → 104,7
Test7("0001000100000000000000000000100100000000","0110011");
Test7("00010001000000000000000000001001000000000110011","0000000");
Test16(data, X"7FA1");
Test16(X"0234567890ABCDEF", X"2FBC");
Test16(X"1234567890ABCDEF", X"2FBC");
Test16(X"1234567890ABCDEF2FBC", X"0000");
Test16(X"F0F0F0F0F0F0F0F0F0F0", X"63E2");
Test16(X"F0F0F0F0F0F0F0F0F0F063E2", X"0000");

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.