OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core
    from Rev 143 to Rev 144
    Reverse comparison

Rev 143 → Rev 144

/trunk/src/grpSd/unitTbdSd/Files.tcl
1,3 → 1,5
set libs {altera_mf cycloneii}
 
set pkgs {Global Global
Sd Sd
Crc CRCs
19,12 → 21,14
Sd SdCardSynchronizer {Rtl}
Synchronization Synchronizer {Rtl}
StrobesClocks EdgeDetector {Rtl}
Sd SdWbSdControllerSync {Rtl}
Sd SdTop {Rtl}
StrobesClocks StrobeGen {Rtl}
Sd SdControllerSync {Rtl}
Sd TestWbMaster {Rtl}
Rs232 Rs232Tx {Rtl}
Components Ics307Configurator {Rtl}
StrobesClocks StrobeGen {Rtl}
Sd TestWbMaster {Rtl}
Sd SdTop {Rtl}
Sd TbdSd {Rtl}}
 
set tb {Sd TbdSd {Bhv}}
 
 
/trunk/src/grpSd/unitTbdSd/sim/TbdSd.tcl
0,0 → 1,2
source ../Files.tcl
source ../../../sim/sim.tcl
/trunk/src/grpSd/unitTbdSd/sim/TbdSd-unattended.tcl
0,0 → 1,3
set script TbdSd.tcl
 
do "../../../sim/unattended.tcl"

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.