OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core
    from Rev 16 to Rev 17
    Reverse comparison

Rev 16 → Rev 17

/trunk/src/grpCrc/unitCrc/src/Crc-Rtl-ea.vhdl
58,7 → 58,7
-- calculate CRC
input := iData xor regs(regs'high);
 
regs(0) <= input
regs(0) <= input;
 
for idx in 1 to regs'high loop
if (gPolynom(idx) = '1') then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.