OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdhc-sc-core
    from Rev 165 to Rev 166
    Reverse comparison

Rev 165 → Rev 166

/trunk/src/grpSd/unitSdCardModel/src/SdCardModel.sv
67,7 → 67,8
data[addr][i][j] = block.data.pop_front();
end
end
 
if (RamActionOutMb != null)
begin
RamAction action = new(RamAction::Write, addr, data[addr]);
RamActionOutMb.put(action);
/trunk/src/grpSd/unitTbdSd/src/TbdSd-Rtl-ea.vhdl
123,7 → 123,7
)
port map (
iWbClk => iClk,
iRstSync => RstSync(1),
iWbRstSync => RstSync(1),
 
iCyc => iCyc,
iLock => iLock,
141,6 → 141,7
oRty => oRty,
 
iSdClk => iClk,
iSdRstSync => RstSync(1),
ioCmd => ioCmd,
oSclk => oSclk,
ioData => ioData,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.