URL
https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk
Subversion Repositories sdhc-sc-core
Compare Revisions
- This comparison shows the changes necessary to convert path
/sdhc-sc-core
- from Rev 169 to Rev 170
- ↔ Reverse comparison
Rev 169 → Rev 170
/trunk/header.py
11,6 → 11,7
print("-h --help: print this help.") |
print("-e --extended: add information from git, used for printing") |
print("-f --file: file for which the header shall be print") |
print("-o --out: output file") |
|
def getComment(extension): |
comment = None |
95,7 → 96,7
with open(shortname+extension) as f: |
content = f.readlines() |
|
staticheader = [ |
staticheaderlgpl = [ |
comment + "SDHC-SC-Core"+ linesep, |
comment + "Secure Digital High Capacity Self Configuring Core"+ linesep, |
comment+ linesep, |
118,6 → 119,38
comment + linesep, |
comment + "File : " + os.path.basename(shortname + extension) + linesep] |
|
|
staticheader = [ |
comment + "SDHC-SC-Core"+ linesep, |
comment + "Secure Digital High Capacity Self Configuring Core"+ linesep, |
comment + linesep, |
comment + "(C) Copyright 2010, Rainer Kastl"+ linesep, |
comment + "All rights reserved." + linesep, |
comment + linesep, |
comment + "Redistribution and use in source and binary forms, with or without" + linesep, |
comment + "modification, are permitted provided that the following conditions are met:" + linesep, |
comment + " * Redistributions of source code must retain the above copyright" + linesep, |
comment + " notice, this list of conditions and the following disclaimer." + linesep, |
comment + " * Redistributions in binary form must reproduce the above copyright" + linesep, |
comment + " notice, this list of conditions and the following disclaimer in the" + linesep, |
comment + " documentation and/or other materials provided with the distribution." + linesep, |
comment + " * Neither the name of the <organization> nor the" + linesep, |
comment + " names of its contributors may be used to endorse or promote products" + linesep, |
comment + " derived from this software without specific prior written permission." + linesep, |
comment + linesep, |
comment + "THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS \"AS IS\" AND" + linesep, |
comment + "ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED" + linesep, |
comment + "WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE" + linesep, |
comment + "DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY" + linesep, |
comment + "DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES" + linesep, |
comment + "(INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;" + linesep, |
comment + "LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND" + linesep, |
comment + "ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT" + linesep, |
comment + "(INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS" + linesep, |
comment + "SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE." + linesep, |
comment + linesep, |
comment + "File : " + os.path.basename(shortname + extension) + linesep] |
|
dynamicheader = [comment + "Owner : " + linesep, |
comment + "Description : " + linesep, |
comment + "Links : " + linesep, |
125,7 → 158,20
|
newFile = [] |
|
if checkStaticHeader(staticheader, content): |
if checkStaticHeader(staticheaderlgpl, content): |
newFile.extend(staticheader) |
|
if checkDynamicHeader(dynamicheader, content[len(staticheaderlgpl):]): |
newFile.extend(content[len(staticheaderlgpl):len(staticheaderlgpl) + len(dynamicheader)]) |
content = content[len(staticheaderlgpl)+len(dynamicheader):] |
else: |
dynamicheader[0] = dynamicheader[0].rstrip() + " Rainer Kastl" + linesep |
newFile.extend(dynamicheader) |
print("Header rewritten, you should check the file!") |
|
addSCMExtension(newFile, shortname+extension, comment, extended) |
|
elif checkStaticHeader(staticheader, content): |
newFile.extend(content[0:len(staticheader)]) |
|
if checkDynamicHeader(dynamicheader, content[len(staticheader):]): |
/trunk/src/grpSd/unitSdCardModel/src/SdBusTrans.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdBusTrans.sv |
// Owner : Rainer Kastl |
// Description : Transmission classes for the SD Bus |
/trunk/src/grpSd/unitSdCardModel/src/SDOCR.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SDOCR.sv |
// Owner : Rainer Kastl |
// Description : SD OCR Register |
/trunk/src/grpSd/unitSdCardModel/src/SdBFM-impl.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdBFM-impl.sv |
// Owner : Rainer Kastl |
// Description : Implementation of SD BFM |
/trunk/src/grpSd/unitSdCardModel/src/SdBFM.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdBFM.sv |
// Owner : Rainer Kastl |
// Description : SD BFM |
/trunk/src/grpSd/unitSdCardModel/src/SdDataBlock.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdDataBlock.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSd/unitSdCardModel/src/RamAction.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : RamAction.sv |
// Owner : Rainer Kastl |
// Description : Describes an action on a RAM |
/trunk/src/grpSd/unitSdCardModel/src/SDCommandArg.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SDCommandArg.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSd/unitSdCardModel/src/SdBusInterface.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdBusInterface.sv |
// Owner : Rainer Kastl |
// Description : SD Bus |
/trunk/src/grpSd/unitSdCardModel/src/SdCardModel.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCardModel.sv |
// Owner : Rainer Kastl |
// Description : SD Card model |
/trunk/src/grpSd/unitSdCardModel/src/SdCardState.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCardState.sv |
// Owner : Rainer Kastl |
// Description : State of an SD Card model |
/trunk/src/grpSd/unitSdCardModel/src/SdCommand.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCommand.sv |
// Owner : Rainer Kastl |
// Description : Classes and types describing the commands of the SD spec |
/trunk/src/grpSd/unitSdCardModel/src/SDCID.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SDCID.sv |
// Owner : Rainer Kastl |
// Description : SD Register CID |
/trunk/src/grpSd/unitSdCardModel/src/Crc.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : Crc.sv |
// Owner : Rainer Kastl |
// Description : CRC calculations using SD CRC polynoms |
/trunk/src/grpSd/unitSdCardModel/sim/SDCardModel.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SDCardModel.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdCardModel/sim/SDCardModel-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SDCardModel-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTestWbMaster/src/TestWbMaster-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : TestWbMaster-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Wishbone master for testing SDHC-SC-Core on the SbX |
/trunk/src/grpSd/unitTestWbMaster/src/TestWbMaster-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : TestWbMaster-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : Wishbone master for testing SDHC-SC-Core on the SbX |
/trunk/src/grpSd/unitSdWbSdControllerSync/src/SdWbSdControllerSync-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdWbSdControllerSync-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Synchronization of ctrl and data between Wb clock domain and Sd clock domain |
/trunk/src/grpSd/unitSdTop/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdTop/src/SdTop-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdTop-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Top level connecting all sub entities |
/trunk/src/grpSd/unitSdTop/syn/SdTopsyn.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdTopsyn.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdTop/Pins.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Pins.tcl |
# Owner : Rainer Kastl |
# Description : Pin & Location Assignments |
/trunk/src/grpSd/unitSdCmd/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdCmd/src/SdCmd-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdCmd-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : FSM for low level sending of SD commands and receiving responses |
/trunk/src/grpSd/unitSdWbSlave/src/SdWbSlave-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdWbSlave-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : Wishbone interface of SDHC-SC-Core |
/trunk/src/grpSd/unitSdWbSlave/src/WbTransaction.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : WbTransaction.sv |
// Owner : Rainer Kastl |
// Description : Transaction on the wishbone bus |
/trunk/src/grpSd/unitSdWbSlave/src/IWishboneBus.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : IWishboneBus.sv |
// Owner : Rainer Kastl |
// Description : Wishbone bus |
/trunk/src/grpSd/unitSdWbSlave/src/SdWbSlave-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdWbSlave-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Wishbone interface of SDHC-SC-Core |
/trunk/src/grpSd/unitSdWbSlave/src/WishboneBFM.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : WishboneBFM.sv |
// Owner : Rainer Kastl |
// Description : Wishbone BFM |
/trunk/src/grpSd/unitSdWbSlaveWrapper/src/SdWbSlaveWrapper-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdWbSlaveWrapper-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpSd/unitSdClockMaster/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdClockMaster/src/tbSdClockMaster-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbSdClockMaster-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Non automated testbench |
/trunk/src/grpSd/unitSdClockMaster/src/SdClockMaster-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdClockMaster-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : Generation of SDClk and internal strobes |
/trunk/src/grpSd/unitSdClockMaster/src/SdClockMaster-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdClockMaster-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Generation of SDClk and internal strobes |
/trunk/src/grpSd/unitSdClockMaster/sim/SdClockMaster.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdClockMaster.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdClockMaster/sim/SdClockMaster-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdClockMaster-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdData/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdData/src/SdData-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdData-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : FSM for sending and receiving data via the SD Bus |
/trunk/src/grpSd/unitSdData/src/SdData-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdData-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : FSM for sending and receiving data via SD bus |
/trunk/src/grpSd/unitSdData/syn/SdDatasyn.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdDatasyn.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdData/Pins.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Pins.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTbdSd/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTbdSd/src/TbdSd.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : TbdSd.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSd/unitTbdSd/src/TbdSd-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : TbdSd-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Testbed for SDHC-SC-Core for SbX |
/trunk/src/grpSd/unitTbdSd/sim/TbdSd.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : TbdSd.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTbdSd/sim/TbdSd-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : TbdSd-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTbdSd/syn/TbdSdsyn.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : TbdSdsyn.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitTbdSd/Pins.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Pins.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/pkgSdWb/src/SdWb.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdWb.sv |
// Owner : Rainer Kastl |
// Description : SD Wishbone constants for SystemVerilog |
/trunk/src/grpSd/pkgSdWb/src/SdWb-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdWb-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : SD Wishbone interface package |
/trunk/src/grpSd/pkgSd/src/Sd-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Sd-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : Definitions for SD cards and controllers (Spec 2.0) |
/trunk/src/grpSd/unitSdVerificationTestbench/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdVerificationTestbench/src/SdVerificationTestbench.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdVerificationTestbench.sv |
// Owner : Rainer Kastl |
// Description : Testbench for verification of SDHC-SC-Core |
/trunk/src/grpSd/unitSdVerificationTestbench/src/Harness.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : Harness.sv |
// Owner : Rainer Kastl |
// Description : Verification harness for SDHC-SC-Core |
/trunk/src/grpSd/unitSdVerificationTestbench/sim/SdVerificationTestbench-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdVerificationTestbench-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdVerificationTestbench/sim/SdVerificationTestbench.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : SdVerificationTestbench.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSd/unitSdController/src/SdController-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdController-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : Main FSM controlling Cmd and Data FSMs, communicates with Wb |
/trunk/src/grpSd/unitSdController/src/SdController-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdController-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Main FSM controlling Cmd and Data FSM, communicates with Wb |
/trunk/src/grpSd/unitSdCardSynchronizer/src/SdCardSynchronizer-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SdCardSynchronizer-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Synchronizes SD Bus inputs |
/trunk/src/grpCrc/unitCrc/src/Crc-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Crc-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : CRC implementation with generic polynoms |
/trunk/src/grpCrc/unitCrc/src/tbCrc-bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbCrc-bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Testbench |
/trunk/src/grpCrc/unitCrc/sim/tbcrc-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbcrc-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpCrc/unitCrc/sim/tbcrc.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbcrc.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpCrc/unitCrc/syn/CRCsyn.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : CRCsyn.tcl |
# Owner : Rainer Kastl |
# Description : Synthesis script |
/trunk/src/grpCrc/pkgCRCs/src/CRCs-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : CRCs-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : Package containing CRC polynoms |
/trunk/src/grpMemory/unitSinglePortedRam/src/SinglePortedRam-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SinglePortedRam-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpMemory/unitSinglePortedRam/src/SinglePortedRam-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SinglePortedRam-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpMemory/unitSimpleDualPortedRam/src/SimpleDualPortedRam-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SimpleDualPortedRam-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpMemory/unitSimpleDualPortedRam/src/SimpleDualPortedRam-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : SimpleDualPortedRam-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : one write/read port and one read only port |
/trunk/src/libaltera_mf/sim/lib.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : lib.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpSdVerification/unitSdCoreChecker/src/ExpectedResult.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : ExpectedResult.sv |
// Owner : Rainer Kastl |
// Description : Expected result of an SdCoreTransaction |
/trunk/src/grpSdVerification/unitSdCoreChecker/src/SdCoreChecker.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCoreChecker.sv |
// Owner : Rainer Kastl |
// Description : Checker for SdCoreTransactions |
/trunk/src/grpSdVerification/unitSdCoreTransaction/src/SdCoreTransaction.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCoreTransaction.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSdVerification/unitSdCoreTransactionSeqGen/src/SdCoreTransactionSeqGen.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCoreTransactionSeqGen.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSdVerification/unitSdCoreTransactionBFM/src/SdCoreTransactionBFM.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCoreTransactionBFM.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSdVerification/unitSdCoreTransactionBFM/src/WbTransactionWriteSingleBlock.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : WbTransactionWriteSingleBlock.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSdVerification/unitSdCoreTransactionBFM/src/WbTransactionReadSingleBlock.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : WbTransactionReadSingleBlock.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpSdVerification/unitSdCoreTransferFunction/src/SdCoreTransferFunction.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : SdCoreTransferFunction.sv |
// Owner : Rainer Kastl |
// Description : |
/trunk/src/grpRs232/unitRs232Tx/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpRs232/unitRs232Tx/src/Rs232Tx-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Rs232Tx-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Rs232 Transmitter |
/trunk/src/grpRs232/unitRs232Tx/src/tbRs232Tx-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbRs232Tx-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Testbench for Rs232 Transmitter |
/trunk/src/grpRs232/unitRs232Tx/sim/Rs232Tx-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Rs232Tx-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpRs232/unitRs232Tx/sim/Rs232Tx.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Rs232Tx.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpRs232/pkgRs232/src/Rs232-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Rs232-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : Package for Rs232 |
/trunk/src/grpCyclone2/unitWriteDataFifo/src/WriteDataFifo-Syn-ea.vhdl
1,3 → 1,37
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- File : WriteDataFifo-Syn-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
-- Links : |
-- |
|
-- megafunction wizard: %FIFO% |
-- GENERATION: STANDARD |
-- VERSION: WM1.0 |
/trunk/src/grpCyclone2/unitCycSimpleDualPortedRam/src/CycSimpleDualPortedRam-Syn-ea.vhdl
1,3 → 1,37
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- File : CycSimpleDualPortedRam-Syn-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
-- Links : |
-- |
|
-- megafunction wizard: %RAM: 2-PORT% |
-- GENERATION: STANDARD |
-- VERSION: WM1.0 |
/trunk/src/grpWishbone/pkgWishbone/src/Wishbone-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Wishbone-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpWishbone/unitWbSlave/src/WbSlave-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : WbSlave-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Shell for a wishbone slave, supports synchronous cycle termination |
/trunk/src/grpWishbone/unitWbSlave/src/tbWbSlave-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbWbSlave-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Testbench for WbSlave-Bhv-ea.vhdl |
/trunk/src/grpWishbone/unitWbSlave/sim/tbwb.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbwb.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpWishbone/unitWbSlave/sim/tbwb-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbwb-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/sim/sim.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : sim.tcl |
# Owner : Rainer Kastl |
# Description : Script for simulation |
/trunk/src/sim/unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : unattended.tcl |
# Owner : Rainer Kastl |
# Description : script for running simulations unattended |
/trunk/src/grpStrobesClocks/unitCounter/src/Counter-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Counter-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : Generic counter |
/trunk/src/grpStrobesClocks/unitCounter/src/Counter-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Counter-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Generic Counter |
/trunk/src/grpStrobesClocks/unitStrobeGen/src/StrobeGen-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : StrobeGen-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitStrobeGen/src/StrobeGen-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : StrobeGen-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitStrobeGen/src/tbStrobeGen-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbStrobeGen-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/Files.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : Files.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/src/tbTimeoutGenerator-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbTimeoutGenerator-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Testbench |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/src/TimeoutGenerator-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : TimeoutGenerator-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/src/TimeoutGenerator-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : TimeoutGenerator-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : Generates timeout strobes after the specified time |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/sim/tbTimeoutGenerator.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbTimeoutGenerator.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpStrobesClocks/unitTimeoutGenerator/sim/tbTimeoutGenerator-unattended.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : tbTimeoutGenerator-unattended.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpStrobesClocks/unitEdgeDetector/src/tbEdgeDetection-Bhv-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : tbEdgeDetection-Bhv-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitEdgeDetector/src/EdgeDetector-Rtl-a.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : EdgeDetector-Rtl-a.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpStrobesClocks/unitEdgeDetector/src/EdgeDetector-e.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : EdgeDetector-e.vhdl |
-- Owner : Rainer Kastl |
-- Description : |
/trunk/src/grpVerification/unitLogger/src/Logger.sv
1,23 → 1,31
// SDHC-SC-Core |
// Secure Digital High Capacity Self Configuring Core |
// |
// (C) Copyright 2010 Rainer Kastl |
// (C) Copyright 2010, Rainer Kastl |
// All rights reserved. |
// |
// This file is part of SDHC-SC-Core. |
// Redistribution and use in source and binary forms, with or without |
// modification, are permitted provided that the following conditions are met: |
// * Redistributions of source code must retain the above copyright |
// notice, this list of conditions and the following disclaimer. |
// * Redistributions in binary form must reproduce the above copyright |
// notice, this list of conditions and the following disclaimer in the |
// documentation and/or other materials provided with the distribution. |
// * Neither the name of the <organization> nor the |
// names of its contributors may be used to endorse or promote products |
// derived from this software without specific prior written permission. |
// |
// SDHC-SC-Core is free software: you can redistribute it and/or modify it |
// under the terms of the GNU Lesser General Public License as published by |
// the Free Software Foundation, either version 3 of the License, or (at |
// your option) any later version. |
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
// |
// SDHC-SC-Core is distributed in the hope that it will be useful, but |
// WITHOUT ANY WARRANTY; without even the implied warranty of |
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
// General Public License for more details. |
// |
// You should have received a copy of the GNU Lesser General Public License |
// along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
// |
// File : Logger.sv |
// Owner : Rainer Kastl |
// Description : Logging facility for verification |
/trunk/src/grpComponents/pkgIcs307Values/src/Ics307Values-p.vhdl
1,11 → 1,36
-- |
-- Title: Constants for Ics307Configurator |
-- File: Ics307Values-p.vhdl |
-- Author: Rainer Kastl |
-- Standard: VHDL'93 |
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- Description: |
-- |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- File : Ics307Values-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : Constants for Ics307Configurator |
-- Links : |
-- |
|
library ieee; |
use ieee.std_logic_1164.all; |
/trunk/src/libcycloneii/sim/lib.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : lib.tcl |
# Owner : Rainer Kastl |
# Description : |
/trunk/src/grpGlobal/pkgGlobal/src/Global-p.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Global-p.vhdl |
-- Owner : Rainer Kastl |
-- Description : Global constants and functions |
/trunk/src/syn/pins.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : pins.tcl |
# Owner : Rainer Kastl |
# Description : Script for setting pins |
/trunk/src/syn/syn.tcl
1,23 → 1,31
# SDHC-SC-Core |
# Secure Digital High Capacity Self Configuring Core |
# |
# (C) Copyright 2010 Rainer Kastl |
# (C) Copyright 2010, Rainer Kastl |
# All rights reserved. |
# |
# This file is part of SDHC-SC-Core. |
# Redistribution and use in source and binary forms, with or without |
# modification, are permitted provided that the following conditions are met: |
# * Redistributions of source code must retain the above copyright |
# notice, this list of conditions and the following disclaimer. |
# * Redistributions in binary form must reproduce the above copyright |
# notice, this list of conditions and the following disclaimer in the |
# documentation and/or other materials provided with the distribution. |
# * Neither the name of the <organization> nor the |
# names of its contributors may be used to endorse or promote products |
# derived from this software without specific prior written permission. |
# |
# SDHC-SC-Core is free software: you can redistribute it and/or modify it |
# under the terms of the GNU Lesser General Public License as published by |
# the Free Software Foundation, either version 3 of the License, or (at |
# your option) any later version. |
# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
# ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
# WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
# DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
# SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
# |
# SDHC-SC-Core is distributed in the hope that it will be useful, but |
# WITHOUT ANY WARRANTY; without even the implied warranty of |
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
# General Public License for more details. |
# |
# You should have received a copy of the GNU Lesser General Public License |
# along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
# |
# File : syn.tcl |
# Owner : Rainer Kastl |
# Description : Synthesis script for Quartus |
/trunk/src/grpSynchronization/unitSynchronizer/src/Synchronizer-Rtl-ea.vhdl
1,23 → 1,31
-- SDHC-SC-Core |
-- Secure Digital High Capacity Self Configuring Core |
-- |
-- (C) Copyright 2010 Rainer Kastl |
-- (C) Copyright 2010, Rainer Kastl |
-- All rights reserved. |
-- |
-- This file is part of SDHC-SC-Core. |
-- Redistribution and use in source and binary forms, with or without |
-- modification, are permitted provided that the following conditions are met: |
-- * Redistributions of source code must retain the above copyright |
-- notice, this list of conditions and the following disclaimer. |
-- * Redistributions in binary form must reproduce the above copyright |
-- notice, this list of conditions and the following disclaimer in the |
-- documentation and/or other materials provided with the distribution. |
-- * Neither the name of the <organization> nor the |
-- names of its contributors may be used to endorse or promote products |
-- derived from this software without specific prior written permission. |
-- |
-- SDHC-SC-Core is free software: you can redistribute it and/or modify it |
-- under the terms of the GNU Lesser General Public License as published by |
-- the Free Software Foundation, either version 3 of the License, or (at |
-- your option) any later version. |
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND |
-- ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED |
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE |
-- DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY |
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES |
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; |
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND |
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT |
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS |
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. |
-- |
-- SDHC-SC-Core is distributed in the hope that it will be useful, but |
-- WITHOUT ANY WARRANTY; without even the implied warranty of |
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU |
-- General Public License for more details. |
-- |
-- You should have received a copy of the GNU Lesser General Public License |
-- along with SDHC-SC-Core. If not, see http://www.gnu.org/licenses/. |
-- |
-- File : Synchronizer-Rtl-ea.vhdl |
-- Owner : Rainer Kastl |
-- Description : Synchronization between two clock domains |