OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdram_controller
    from Rev 15 to Rev 16
    Reverse comparison

Rev 15 → Rev 16

/trunk/sdram.vhd
504,8 → 504,7
-- this is the main hub state
-- this is where reads and writes return to after being completed
busy_n <= '1';
op_ack <= '0';
cap_en <= '0';
op_ack <= '0';
need_ar_rst <= '0';
main_sel <= '1';
writer_rst <= '1';

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.