OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdram_controller
    from Rev 17 to Rev 18
    Reverse comparison

Rev 17 → Rev 18

/trunk/sdram.vhd
473,6 → 473,7
-- over either a transparent latch or gated clock or both
-- but i've got it working again with my SoC and I'll see about
-- changing it back to something less icky later
--
-- capture addr, data_i and op for the cmd fsm
-- op needs to be captured during AR or it might get dropped
addr_save <= addr when cap_en = '1' else addr_save;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.