OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdram_controller
    from Rev 19 to Rev 20
    Reverse comparison

Rev 19 → Rev 20

/trunk/sdram.vhd
58,7 → 58,6
entity sdram_controller is
port( -- user facing signals
clk100mhz : in std_logic;
en : in std_logic;
reset : in std_logic;
op : in std_logic_vector(1 downto 0); -- 00/11: NOP, 01: READ, 10: write
addr : in std_logic_vector(25 downto 0); -- address to read/write
460,7 → 459,7
-- capture addr, data_i and op for the cmd fsm
-- op needs to be captured during AR or it might get dropped
process (clk_000)
process (clk_000,cap_en)
begin
if (cap_en = '1') then
if (rising_edge(clk_000)) then

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.