OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sdram_controller
    from Rev 21 to Rev 22
    Reverse comparison

Rev 21 → Rev 22

/trunk/scratch.vhd
159,28 → 159,26
end if;
when STATE1 =>
op <= "10";
addr <= "0000000000"& x"6001";
data_i <= "11110001";
op <= "10";
if (op_ack = '1') then
dram_driver_state <= STATE2;
end if;
when STATE2 =>
op <= "00";
if (busy_n = '1') then
dram_driver_state <= STATE3;
end if;
when STATE3 =>
op <= "01";
addr <= "0000000000" & x"6001";
op <= "01";
if (op_ack = '1') then
dram_driver_state <= STATE4;
end if;
when STATE4 =>
op <= "00";
if (busy_n = '1') then
dram_driver_state <= STATE5;
end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.