OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sgmii/trunk
    from Rev 5 to Rev 6
    Reverse comparison

Rev 5 → Rev 6

/sim/Testbench_AltGXB_1000BaseX.sv
429,11 → 429,6
s32_TxCarrierErrCycles[tstcfg_TxPktCnt+Idx]=0;
for(Octet=0;Octet<PktSize;Octet=Octet+1)
begin
if(Octet<7)
r8_TxBuffer[tstcfg_TxPktCnt+Idx][Octet]=8'h55;
else if(Octet==7)
r8_TxBuffer[tstcfg_TxPktCnt+Idx][Octet]=8'hD5;
else
r8_TxBuffer[tstcfg_TxPktCnt+Idx][Octet]=$random;
end
r8_TxBuffer[tstcfg_TxPktCnt+Idx][8]=PktSize & 32'h00FF;
446,9 → 441,9
u0MacEmulator.tsk_TransmitPkt(r8_TxBuffer[tstcfg_TxPktCnt+Idx],PktSize,PktIFG);
end
begin
//$display("u1: Sending Packet %d Size %d",tstcfg_TxPktCnt+Idx,PktSize);
#8; //If You Remove This Delay, you will have error in first packet.
//u1MacEmulator.tsk_TransmitPkt(r8_TxBuffer[tstcfg_TxPktCnt+Idx],PktSize,PktIFG);
$display("u1: Sending Packet %d Size %d",tstcfg_TxPktCnt+Idx,PktSize);
//#8; //If You Remove This Delay, you will have error in first packet.
u1MacEmulator.tsk_TransmitPkt(r8_TxBuffer[tstcfg_TxPktCnt+Idx],PktSize,PktIFG);
end
join
/sim/mMACEmulator.sv
35,6 → 35,7
wire w_Sop;
wire w_Eop;
reg [07:00] ReceivedPkt[0:10000];
reg [07:00] r8_LstRxD;
integer RxPtr;
reg ReceiveEnable;
54,7 → 55,8
assign w_Active=i_RxDV|i_RxER;
always@(posedge i_RxClk)
begin
r_Active <= w_Active;
r_Active <= w_Active;
r8_LstRxD <= i8_RxD;
end
75,14 → 77,17
Octet=0;
while(w_Active!=1'b1||r_Active!=1'b0)
@(posedge i_RxClk);
while(w_Active!=1'b1||i8_RxD!=8'hD5||r8_LstRxD!=8'h55)
@(posedge i_RxClk);
@(posedge i_RxClk);
$display("MAC: Start Receiving");
while(r_Active!=1'b1||w_Active!=1'b0)
begin
if(i_RxDV==1'b1 && i_RxER==1'b0)
begin
if(r_Active==1'b0) $display("MAC: Start Receiving");
ov_ReceivedPkt[Octet]=i8_RxD;
Octet=Octet+1;
//$write("%x ",i8_RxD);
end
else if(i_RxDV==1'b0 && i_RxER==1'b1) begin
case(i8_RxD)
99,8 → 104,6
end
else if(i_RxDV==1'b1 && i_RxER==1'b1) begin
ErrCycles = ErrCycles+1;
//ov_ReceivedPkt[Octet]=i8_RxD;
//Octet = Octet+1;
end
@(posedge i_RxClk);
end
116,6 → 119,13
integer Octet;
begin
for(Octet=0;Octet<8;Octet++)
begin
@(posedge i_TxClk);#1;
o_TxEN = 1'b1;
o_TxER = 1'b0;
o8_TxD = (Octet==7)?8'hD5:8'h55;
end
for(Octet=0;Octet<PktSize;Octet=Octet+1)
begin
@(posedge i_TxClk);#1;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.