OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sincos/trunk/vhdl/msi
    from Rev 13 to Rev 14
    Reverse comparison

Rev 13 → Rev 14

/pipestage/pipestage_tb.do
0,0 → 1,8
vcom -work work -2002 -explicit d:/lib/vhdl/tb/clk_rst/clk_rst.vhd
vcom -work work -2002 -explicit d:/lib/vhdl/msi/pipestage/pipestage.vhd
vcom -work work -2002 -explicit d:/lib/vhdl/msi/pipestage/pipestage_tb.vhd
vsim pipestage_tb
log -r /*
do pipestage_tb_wave.do
run 220 ns
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.