OpenCores
URL https://opencores.org/ocsvn/sincos/sincos/trunk

Subversion Repositories sincos

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /sincos/trunk/vhdl/tb
    from Rev 7 to Rev 8
    Reverse comparison

Rev 7 → Rev 8

/clk_rst/clk_rst_tb.do
0,0 → 1,4
vsim clk_rst_tb
log -r /*
do clk_rst_wave.do
run 2.5 us

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.