OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /socgen/trunk/Projects/opencores.org/logic/ip/uart
    from Rev 134 to Rev 135
    Reverse comparison

Rev 134 → Rev 135

/bin/Makefile File deleted
/componentCfg.xml
3,7 → 3,7
 
-->
<socgen:componentConfiguration
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
 
18,7 → 18,25
<socgen:ip_name_version_sep>_</socgen:ip_name_version_sep>
<socgen:ip_name_base_macro>VARIANT</socgen:ip_name_base_macro>
 
<socgen:systems>
<socgen:system>
<socgen:name>TestBenches</socgen:name>
<socgen:level1>sim</socgen:level1>
<socgen:level2>testbenches</socgen:level2>
<socgen:level3>testbench</socgen:level3>
<socgen:level4>version</socgen:level4>
</socgen:system>
<socgen:system>
<socgen:name>Fpgas</socgen:name>
<socgen:level1>syn</socgen:level1>
<socgen:level2>ise</socgen:level2>
<socgen:level3>chip</socgen:level3>
<socgen:level4>variant</socgen:level4>
</socgen:system>
</socgen:systems>
 
 
 
<socgen:doc>
 
<socgen:library_path>/doc</socgen:library_path>
/rtl/xml/uart_def.design.xml
27,102 → 27,102
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def.design</ipxact:version>
 
 
 
 
<spirit:vendorExtensions><socgen:nodes>
<ipxact:vendorExtensions><socgen:nodes>
 
 
 
<socgen:node><spirit:name>baud_clk</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>baud_clk_div</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk_div</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_data_out</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire>
<spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
<socgen:node><ipxact:name>fifo_data_out</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
<ipxact:wire>
<ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_full</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_full</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_over_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_over_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_under_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_under_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>cde_buffer_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_start</spirit:name>
<spirit:typeName>reg</spirit:typeName>
<socgen:node><ipxact:name>xmit_start</ipxact:name>
<ipxact:typeName>reg</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_enable</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>xmit_enable</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
<socgen:node>
<spirit:name>txd_break_n</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<ipxact:name>txd_break_n</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
<socgen:node>
<spirit:name>rxd_pad_synced</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
</socgen:nodes></spirit:vendorExtensions>
</socgen:nodes></ipxact:vendorExtensions>
 
 
 
131,101 → 131,101
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="clk"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="clk"/>
<ipxact:internalPortReference componentRef="divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="filter" portRef="clk"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="slave_reset_reset"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="reset"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="reset"/>
<ipxact:internalPortReference componentRef="divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="reset"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:internalPortReference componentRef="x_divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
 
 
 
<spirit:adHocConnection spirit:tiedValue="PRESCALE" >
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="PRESCALE" >
<ipxact:internalPortReference componentRef="divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection spirit:tiedValue="1'b1" >
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b1" >
<ipxact:internalPortReference componentRef="divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk</spirit:name>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk</ipxact:name>
<ipxact:internalPortReference componentRef="divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:internalPortReference componentRef="filter" portRef="data_in"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_synced</spirit:name>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_out"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="pad_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:internalPortReference componentRef="filter" portRef="data_out"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="pad_in"/>
</ipxact:adHocConnection>
 
 
 
233,67 → 233,67
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="rcv_stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="rcv_stb"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="stop_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="stop_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_avail"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_avail"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>xmit_start</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="load"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_start</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="load"/>
</ipxact:adHocConnection>
 
 
 
300,87 → 300,87
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_break_n</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="stop_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break_n</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="stop_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="data"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="data"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cde_buffer_empty</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="buffer_empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="buffer_empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="ser_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="ser_out"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection spirit:tiedValue="4'b1111" >
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="4'b1111" >
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<ipxact:adHocConnection tiedValue="1'b0" >
 
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="start_value"/>
</spirit:adHocConnection>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="start_value"/>
</ipxact:adHocConnection>
 
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>serial_rcvr</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="serial_rcvr" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>serial_rcvr</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="serial_rcvr" version="def" />
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>cde_serial_xmit</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="serial" spirit:version="xmit" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>cde_serial_xmit</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="serial" version="xmit" />
</ipxact:componentInstance>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">PRE_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">PRE_SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>x_divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>x_divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>filter</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sync" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>filter</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sync" version="def" />
</ipxact:componentInstance>
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
388,4 → 388,4
 
 
 
</spirit:design>
</ipxact:design>
/rtl/xml/uart_def.xml
27,301 → 27,300
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def</ipxact:version>
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
<spirit:busInterface><spirit:name>slave_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>clk</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>slave_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>reset</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
 
 
<spirit:busInterface><spirit:name>uart</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>uart</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="uart" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="uart" version="rtl"/>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>txd_pad_out</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_pad_out</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:portMaps>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
</spirit:portMaps>
</spirit:busInterface>
 
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
<spirit:busInterface><spirit:name>rxd_data_avail</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>IRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_data_avail_IRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:master/>
 
</spirit:portMaps>
</spirit:busInterface>
</ipxact:busInterface>
 
 
 
<spirit:busInterface><spirit:name>txd_buffer_empty</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>NIRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_buffer_empty_NIRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
</spirit:portMaps>
</spirit:busInterface>
</ipxact:busInterfaces>
 
 
 
<ipxact:componentGenerators>
 
</spirit:busInterfaces>
 
 
 
<spirit:componentGenerators>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_sim</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_def</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_syn</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_def</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_sim</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_def</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_syn</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_def</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:componentGenerators>
 
 
<ipxact:fileSets>
 
 
</spirit:componentGenerators>
 
 
<spirit:fileSets>
 
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/uart_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.sim</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/uart_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.sim</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</ipxact:fileSet>
 
 
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/syn/uart_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/syn/uart_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
</ipxact:fileSet>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
 
 
</spirit:fileSet>
 
</ipxact:fileSets>
 
 
 
 
</spirit:fileSets>
<ipxact:model>
 
 
 
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="logic" name="uart" version="def.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>Hierarchical</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="def.design"/>
</spirit:view>
 
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
 
 
<ipxact:views>
 
<ipxact:view>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
331,113 → 330,113
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>parity_enable</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>parity_enable</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>divider_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DIV_SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>divider_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DIV_SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>cts_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_load</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_load</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_break</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_break</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_data_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_data_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_buffer_empty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_stop_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/rtl/xml/uart_rx.design.xml
27,102 → 27,102
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx.design</ipxact:version>
 
 
 
 
<spirit:vendorExtensions><socgen:nodes>
<ipxact:vendorExtensions><socgen:nodes>
 
 
 
<socgen:node><spirit:name>baud_clk</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>baud_clk_div</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk_div</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_data_out</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire>
<spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
<socgen:node><ipxact:name>fifo_data_out</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
<ipxact:wire>
<ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_full</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_full</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_over_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_over_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_under_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_under_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>cde_buffer_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_start</spirit:name>
<spirit:typeName>reg</spirit:typeName>
<socgen:node><ipxact:name>xmit_start</ipxact:name>
<ipxact:typeName>reg</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_enable</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>xmit_enable</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>txd_break_n</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>txd_break_n</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
<socgen:node><spirit:name>rxd_pad_synced</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
 
</socgen:nodes></spirit:vendorExtensions>
</socgen:nodes></ipxact:vendorExtensions>
 
 
 
131,198 → 131,198
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="clk"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="clk"/>
<ipxact:internalPortReference componentRef="divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="filter" portRef="clk"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="slave_reset_reset"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="reset"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="reset"/>
<ipxact:internalPortReference componentRef="divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="reset"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection spirit:tiedValue="4'b1111" >
<ipxact:adHocConnection tiedValue="4'b1111" >
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:internalPortReference componentRef="x_divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection spirit:tiedValue="PRESCALE">
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="PRESCALE">
<ipxact:internalPortReference componentRef="divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="1'b1" >
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b1" >
<ipxact:internalPortReference componentRef="divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk</spirit:name>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk</ipxact:name>
<ipxact:internalPortReference componentRef="divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:internalPortReference componentRef="filter" portRef="data_in"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_synced</spirit:name>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_out"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="pad_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:internalPortReference componentRef="filter" portRef="data_out"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="pad_in"/>
</ipxact:adHocConnection>
 
 
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="rcv_stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="rcv_stb"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="stop_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="stop_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_avail"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_avail"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>xmit_start</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="load"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_start</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="load"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<ipxact:adHocConnection tiedValue="1'b0" >
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="start_value"/>
</spirit:adHocConnection>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="start_value"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_break_n</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="stop_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break_n</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="stop_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="data"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="data"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cde_buffer_empty</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="buffer_empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="buffer_empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="ser_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="ser_out"/>
</ipxact:adHocConnection>
 
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
330,49 → 330,49
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>serial_rcvr</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="serial_rcvr" spirit:version="fifo" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>serial_rcvr</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="serial_rcvr" version="fifo" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
<spirit:componentInstance>
<spirit:instanceName>cde_serial_xmit</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="serial" spirit:version="xmit" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>cde_serial_xmit</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="serial" version="xmit" />
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">PRE_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">PRE_SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>x_divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>x_divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>filter</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sync" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>filter</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="sync" version="def" />
</ipxact:componentInstance>
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
380,4 → 380,4
 
 
 
</spirit:design>
</ipxact:design>
/rtl/xml/uart_rx.xml
27,390 → 27,408
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx</ipxact:version>
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
<spirit:busInterface><spirit:name>slave_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>clk</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
 
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
<spirit:busInterface><spirit:name>slave_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>reset</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
 
<ipxact:slave/>
 
</ipxact:busInterface>
 
 
<ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
<spirit:busInterface><spirit:name>uart</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>txd_pad_out</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_pad_out</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
<ipxact:busInterface><ipxact:name>uart</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="uart" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="uart" version="rtl"/>
 
</spirit:portMaps>
</spirit:busInterface>
<ipxact:portMaps>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:busInterface><spirit:name>rxd_data_avail</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>IRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_data_avail_IRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
</spirit:portMaps>
</spirit:busInterface>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
 
</spirit:busInterfaces>
<ipxact:master/>
 
</ipxact:busInterface>
 
 
<spirit:componentGenerators>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_sim</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:busInterfaces>
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_syn</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<ipxact:componentGenerators>
 
</spirit:componentGenerators>
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_sim</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
<spirit:fileSets>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_syn</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
</ipxact:componentGenerators>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/uart_rx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.sim</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<ipxact:fileSets>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/uart_rx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.sim</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/syn/uart_rx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
</ipxact:fileSet>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/syn/uart_rx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSets>
 
</ipxact:fileSet>
 
 
 
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>Hierarchical</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rx.design"/>
</spirit:view>
 
</ipxact:fileSets>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
 
 
 
<ipxact:model>
 
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="logic" name="uart" version="rx.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
 
 
 
 
<ipxact:views>
 
<ipxact:view>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
</ipxact:view>
 
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
 
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>parity_enable</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>parity_enable</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>divider_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DIV_SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>divider_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DIV_SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
<spirit:port><spirit:name>cts_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_load</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_load</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_break</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_break</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_data_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_data_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_buffer_empty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_stop_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
417,4 → 435,4
 
 
 
</spirit:component>
</ipxact:component>
/rtl/xml/uart_rxtx.design.xml
27,106 → 27,106
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx.design</ipxact:version>
 
 
 
<spirit:vendorExtensions><socgen:nodes>
<ipxact:vendorExtensions><socgen:nodes>
 
 
 
<socgen:node><spirit:name>baud_clk</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>baud_clk_div</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk_div</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_data_out</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire>
<spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
<socgen:node><ipxact:name>fifo_data_out</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
<ipxact:wire>
<ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_full</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_full</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_over_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_over_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_under_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_under_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>cde_buffer_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_start</spirit:name>
<spirit:typeName>reg</spirit:typeName>
<socgen:node><ipxact:name>xmit_start</ipxact:name>
<ipxact:typeName>reg</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_enable</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>xmit_enable</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
<socgen:node><spirit:name>txd_break_n</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>txd_break_n</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
<socgen:node><spirit:name>fifo_pop</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_pop</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
<socgen:node><spirit:name>rxd_pad_synced</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
 
</socgen:nodes></spirit:vendorExtensions>
</socgen:nodes></ipxact:vendorExtensions>
 
 
 
135,339 → 135,339
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="clk"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="clk"/>
<ipxact:internalPortReference componentRef="divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="fifo" portRef="clk"/>
<ipxact:internalPortReference componentRef="filter" portRef="clk"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="slave_reset_reset"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="reset"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="reset"/>
<ipxact:internalPortReference componentRef="divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="fifo" portRef="reset"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="push"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="push"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="din"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="din"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>fifo_pop</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="pop"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_pop</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="pop"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="dout"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="dout"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_full</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="full"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_full</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="full"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_empty</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_empty</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_over_run</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="over_run"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_over_run</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="over_run"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>fifo_under_run</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="under_run"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_under_run</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="fifo" portRef="under_run"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="x_divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="filter" portRef="data_in"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_synced</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_out"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="pad_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="filter" portRef="data_out"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="pad_in"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="rcv_stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="rcv_stb"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="stop_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="stop_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_avail"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_avail"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_force"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_type"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>xmit_start</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="load"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_start</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="load"/>
</ipxact:adHocConnection>
 
 
 
 
 
<spirit:adHocConnection>
<spirit:name>txd_break_n</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="stop_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break_n</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="stop_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="data"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="data"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cde_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="buffer_empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="buffer_empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef=""/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="ser_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef=""/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="ser_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="start_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b0" >
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="start_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="4'b1111" >
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="4'b1111" >
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="PRESCALE">
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="PRESCALE">
<ipxact:internalPortReference componentRef="divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="1'b1">
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b1">
<ipxact:internalPortReference componentRef="divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>serial_rcvr</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="serial_rcvr" spirit:version="fifo" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>serial_rcvr</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="serial_rcvr" version="fifo" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>cde_serial_xmit</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="serial" spirit:version="xmit" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>cde_serial_xmit</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="cde" name="serial" version="xmit" />
</ipxact:componentInstance>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">PRE_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">PRE_SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>fifo</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="fifo" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">TX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">TX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>fifo</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="fifo" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">TX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">TX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>x_divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>x_divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>filter</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sync" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>filter</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sync" version="def" />
</ipxact:componentInstance>
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
 
 
</spirit:design>
</ipxact:design>
/rtl/xml/uart_rxtx.xml
27,298 → 27,304
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx</ipxact:version>
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
<spirit:busInterface><spirit:name>slave_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>clk</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
 
<spirit:busInterface><spirit:name>slave_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>reset</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
 
<ipxact:slave/>
 
<spirit:busInterface><spirit:name>uart</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
</ipxact:busInterface>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>txd_pad_out</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_pad_out</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
<ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:slave/>
 
</ipxact:busInterface>
 
</spirit:portMaps>
</spirit:busInterface>
 
<ipxact:busInterface><ipxact:name>uart</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="uart" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="uart" version="rtl"/>
 
<ipxact:portMaps>
 
<spirit:busInterface><spirit:name>rxd_data_avail</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>IRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_data_avail_IRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
</spirit:portMaps>
</spirit:busInterface>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
 
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
<spirit:busInterface><spirit:name>txd_buffer_empty</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>NIRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_buffer_empty_NIRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:master/>
 
</spirit:portMaps>
</spirit:busInterface>
</ipxact:busInterface>
 
 
 
 
</spirit:busInterfaces>
 
 
 
<spirit:componentGenerators>
</ipxact:busInterfaces>
 
 
 
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_sim</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rxtx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_syn</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rxtx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_sim</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rxtx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_syn</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rxtx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
 
 
 
<spirit:fileSets>
</ipxact:componentGenerators>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<ipxact:fileSets>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/uart_rxtx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body.tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.sim</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/uart_rxtx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body.tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.sim</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
</ipxact:fileSet>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/syn/uart_rxtx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body.tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/syn/uart_rxtx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body.tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
 
</ipxact:fileSet>
 
</spirit:fileSets>
 
 
 
</ipxact:fileSets>
 
 
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>Hierarchical</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rxtx.design"/>
</spirit:view>
 
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:model>
 
 
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="logic" name="uart" version="rxtx.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
 
 
<ipxact:views>
 
<ipxact:view>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
 
</ipxact:view>
 
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
327,113 → 333,113
 
 
<spirit:ports>
<ipxact:ports>
 
 
<spirit:port><spirit:name>parity_enable</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>parity_enable</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>divider_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DIV_SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>divider_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DIV_SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>cts_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_load</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_load</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_break</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_break</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_data_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_data_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_buffer_empty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_stop_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
 
</spirit:component>
</ipxact:component>
/rtl/xml/uart_tx.design.xml
27,341 → 27,341
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx.design</ipxact:version>
 
 
 
 
<spirit:vendorExtensions><socgen:nodes>
<ipxact:vendorExtensions><socgen:nodes>
 
 
 
<socgen:node><spirit:name>baud_clk</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>baud_clk_div</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>baud_clk_div</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_data_out</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<spirit:wire>
<spirit:vector><spirit:left>7</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
<socgen:node><ipxact:name>fifo_data_out</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
<ipxact:wire>
<ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:wire>
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_full</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_full</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_over_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_over_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>fifo_under_run</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_under_run</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>cde_buffer_empty</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_start</spirit:name>
<spirit:typeName>reg</spirit:typeName>
<socgen:node><ipxact:name>xmit_start</ipxact:name>
<ipxact:typeName>reg</ipxact:typeName>
 
</socgen:node>
 
 
 
<socgen:node><spirit:name>xmit_enable</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>xmit_enable</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>txd_break_n</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>txd_break_n</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
 
</socgen:node>
 
 
<socgen:node><spirit:name>fifo_pop</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>fifo_pop</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
<socgen:node><spirit:name>rxd_pad_synced</spirit:name>
<spirit:typeName>wire</spirit:typeName>
<socgen:node><ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:typeName>wire</ipxact:typeName>
</socgen:node>
 
 
 
</socgen:nodes></spirit:vendorExtensions>
</socgen:nodes></ipxact:vendorExtensions>
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="clk"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="clk"/>
<ipxact:internalPortReference componentRef="divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="clk"/>
<ipxact:internalPortReference componentRef="fifo" portRef="clk"/>
<ipxact:internalPortReference componentRef="filter" portRef="clk"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_reset_reset"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="slave_reset_reset"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="reset"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="reset"/>
<ipxact:internalPortReference componentRef="divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="reset"/>
<ipxact:internalPortReference componentRef="fifo" portRef="reset"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_load"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="push"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef="txd_load"/>
<ipxact:internalPortReference componentRef="fifo" portRef="push"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_data_in"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="din"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef="txd_data_in"/>
<ipxact:internalPortReference componentRef="fifo" portRef="din"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_pop</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_pop"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="pop"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_pop</ipxact:name>
<ipxact:externalPortReference portRef="fifo_pop"/>
<ipxact:internalPortReference componentRef="fifo" portRef="pop"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_data_out"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="dout"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:externalPortReference portRef="fifo_data_out"/>
<ipxact:internalPortReference componentRef="fifo" portRef="dout"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_full</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_full"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="full"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_full</ipxact:name>
<ipxact:externalPortReference portRef="fifo_full"/>
<ipxact:internalPortReference componentRef="fifo" portRef="full"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_empty"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_empty</ipxact:name>
<ipxact:externalPortReference portRef="fifo_empty"/>
<ipxact:internalPortReference componentRef="fifo" portRef="empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_over_run</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_over_run"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="over_run"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_over_run</ipxact:name>
<ipxact:externalPortReference portRef="fifo_over_run"/>
<ipxact:internalPortReference componentRef="fifo" portRef="over_run"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_under_run</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_under_run"/>
<spirit:internalPortReference spirit:componentRef="fifo" spirit:portRef="under_run"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_under_run</ipxact:name>
<ipxact:externalPortReference portRef="fifo_under_run"/>
<ipxact:internalPortReference componentRef="fifo" portRef="under_run"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_clk_div"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:externalPortReference portRef="baud_clk_div"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="xmit_enable"/>
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:externalPortReference portRef="xmit_enable"/>
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>baud_clk</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_clk"/>
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk</ipxact:name>
<ipxact:externalPortReference portRef="baud_clk"/>
<ipxact:internalPortReference componentRef="divider" portRef="divider_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>baud_clk_div</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_clk_div"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_clk_div</ipxact:name>
<ipxact:externalPortReference portRef="baud_clk_div"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_type"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_force_parity"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_force_parity"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_force"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="uart_rxd_pad_in"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="uart_rxd_pad_in"/>
<ipxact:internalPortReference componentRef="filter" portRef="data_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_synced</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_pad_synced"/>
<spirit:internalPortReference spirit:componentRef="filter" spirit:portRef="data_out"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="pad_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_synced</ipxact:name>
<ipxact:externalPortReference portRef="rxd_pad_synced"/>
<ipxact:internalPortReference componentRef="filter" portRef="data_out"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="pad_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_stb"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="rcv_stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail_stb"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="rcv_stb"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_out"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_out"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity_error"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="parity_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity_error"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="parity_error"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_stop_error"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="stop_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_stop_error"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="stop_error"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail"/>
<spirit:internalPortReference spirit:componentRef="serial_rcvr" spirit:portRef="data_avail"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail"/>
<ipxact:internalPortReference componentRef="serial_rcvr" portRef="data_avail"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>xmit_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="xmit_enable"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="edge_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_enable</ipxact:name>
<ipxact:externalPortReference portRef="xmit_enable"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="edge_enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_force_parity"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_force"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_force_parity"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_force"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_parity"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="parity_type"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_parity"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="parity_type"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>xmit_start</spirit:name>
<spirit:externalPortReference spirit:portRef="xmit_start"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="load"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>xmit_start</ipxact:name>
<ipxact:externalPortReference portRef="xmit_start"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="load"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break_n</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_break_n"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="stop_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break_n</ipxact:name>
<ipxact:externalPortReference portRef="txd_break_n"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="stop_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>fifo_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="fifo_data_out"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="data"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>fifo_data_out</ipxact:name>
<ipxact:externalPortReference portRef="fifo_data_out"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="data"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cde_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="cde_buffer_empty"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="buffer_empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cde_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef="cde_buffer_empty"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="buffer_empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="uart_txd_pad_out"/>
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="ser_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="uart_txd_pad_out"/>
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="ser_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="PRESCALE" >
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="PRESCALE" >
<ipxact:internalPortReference componentRef="divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<spirit:internalPortReference spirit:componentRef="cde_serial_xmit" spirit:portRef="start_value"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b0" >
<ipxact:internalPortReference componentRef="cde_serial_xmit" portRef="start_value"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="4'b1111" >
<spirit:internalPortReference spirit:componentRef="x_divider" spirit:portRef="divider_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="4'b1111" >
<ipxact:internalPortReference componentRef="x_divider" portRef="divider_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection spirit:tiedValue="1'b1" >
<spirit:internalPortReference spirit:componentRef="divider" spirit:portRef="enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b1" >
<ipxact:internalPortReference componentRef="divider" portRef="enable"/>
</ipxact:adHocConnection>
 
 
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
368,59 → 368,59
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>serial_rcvr</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="serial_rcvr" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>serial_rcvr</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="serial_rcvr" version="def" />
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>cde_serial_xmit</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="serial" spirit:version="xmit" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>cde_serial_xmit</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="serial" version="xmit" />
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">PRE_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">PRE_SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>fifo</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="fifo" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="WIDTH">SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">TX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">TX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>fifo</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="fifo" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="WIDTH">SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">TX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">TX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>x_divider</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="divider" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">4</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>x_divider</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="divider" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">4</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>filter</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sync" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>filter</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sync" version="def" />
</ipxact:componentInstance>
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
427,4 → 427,4
 
 
 
</spirit:design>
</ipxact:design>
/rtl/xml/uart_tx.xml
27,204 → 27,206
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx</ipxact:version>
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
<spirit:busInterface><spirit:name>slave_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>clk</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>clk</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>slave_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>reset</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>reset</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
 
<spirit:busInterface><spirit:name>uart</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="uart" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>uart</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="uart" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="uart" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>txd_pad_out</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_pad_out</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>txd_pad_out</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rxd_pad_in</spirit:name></spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>rxd_pad_in</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
 
 
</spirit:portMaps>
</spirit:busInterface>
</ipxact:portMaps>
 
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
 
<ipxact:master/>
 
<spirit:busInterface><spirit:name>txd_buffer_empty</spirit:name>
<spirit:busType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N" spirit:version="1.0"/>
<spirit:abstractionType spirit:vendor="accellera.org" spirit:library="interrupt" spirit:name="INTERRUPT_PROCESSOR_N_rtl" spirit:version="1.0"/>
<spirit:master/>
<spirit:portMaps>
</ipxact:busInterface>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>NIRQ</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>txd_buffer_empty_NIRQ</spirit:name></spirit:physicalPort>
</spirit:portMap>
 
</spirit:portMaps>
</spirit:busInterface>
 
 
 
</spirit:busInterfaces>
</ipxact:busInterfaces>
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_sim</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_tx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_sim</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_tx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_syn</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_tx</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_syn</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_tx</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/uart_tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/uart_tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body.tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body.tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.sim</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.sim</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/syn/uart_tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/syn/uart_tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body.tx</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body.tx</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
231,69 → 233,78
 
 
 
<spirit:model>
<spirit:views>
<ipxact:model>
 
<spirit:view>
<spirit:name>Hierarchical</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="tx.design"/>
</spirit:view>
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="logic" name="uart" version="tx.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
 
 
<ipxact:views>
 
<ipxact:view>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
 
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
302,115 → 313,115
 
 
<spirit:ports>
<ipxact:ports>
 
 
<spirit:port><spirit:name>parity_enable</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>parity_enable</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>divider_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DIV_SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>divider_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DIV_SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
<spirit:port><spirit:name>cts_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_load</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_load</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_break</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_break</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_data_in</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_data_in</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>txd_buffer_empty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_avail</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_force_parity</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_data_out</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>SIZE-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_data_out</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>SIZE-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_parity_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rxd_stop_error</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/sim/bin/Makefile File deleted
/sim/testbenches/xml/uart_bfm.design.xml
27,122 → 27,122
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>bfm.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>bfm.design</ipxact:version>
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:internalPortReference componentRef="uart_model" portRef="clk"/>
<ipxact:internalPortReference componentRef="uart_host" portRef="clk"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:internalPortReference componentRef="uart_model" portRef="reset"/>
<ipxact:internalPortReference componentRef="uart_host" portRef="reset"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="txd_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:internalPortReference componentRef="uart_model" portRef="txd_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="rxd_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:internalPortReference componentRef="uart_model" portRef="rxd_out"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="parity_enable"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="parity_enable"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_parity"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_parity"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_force_parity"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_force_parity"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_data_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_data_in"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_buffer_empty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_buffer_empty"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_load"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_load"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="txd_break"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="txd_break"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_parity"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_parity"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_force_parity"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_force_parity"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_data_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_data_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_data_avail"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_data_avail"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_data_avail_stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_data_avail_stb"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_stop_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_stop_error"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:internalPortReference spirit:componentRef="uart_host" spirit:portRef="rxd_parity_error"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:internalPortReference componentRef="uart_host" portRef="rxd_parity_error"/>
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
151,28 → 151,28
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>uart_host</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="uart_host" spirit:version="def" />
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>uart_host</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="Testbench" name="uart_host" version="def" />
</ipxact:componentInstance>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>uart_model</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="uart_model" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="CLKCNT">UART_MODEL_CLKCNT</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">UART_MODEL_SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>uart_model</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="Testbench" name="uart_model" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="CLKCNT">UART_MODEL_CLKCNT</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">UART_MODEL_SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
181,4 → 181,4
 
 
 
</spirit:design>
</ipxact:design>
/sim/testbenches/xml/uart_def_dut.params.xml
6,35 → 6,35
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="def_duth.design"/>
</spirit:view>
</spirit:views>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PRESCALE</spirit:name><spirit:value>5'b01100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>PRE_SIZE</spirit:name><spirit:value>5</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SIZE</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV</spirit:name><spirit:value>0</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="def_duth.design"/>
</ipxact:view>
</ipxact:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PRESCALE</ipxact:name><ipxact:value>5'b01100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>PRE_SIZE</ipxact:name><ipxact:value>5</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SIZE</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV</ipxact:name><ipxact:value>0</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
</ipxact:model>
</ipxact:component>
/sim/testbenches/xml/uart_def_duth.design.xml
6,182 → 6,172
// ./tools/verilog/gen_tb -vendor opencores.org -library logic -component uart -version def //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk" />
<ipxact:internalPortReference componentRef="dut" portRef="clk" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_out</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_out</ipxact:name>
<ipxact:externalPortReference portRef="cts_out" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>divider_in</spirit:name>
<spirit:externalPortReference spirit:portRef="divider_in" spirit:left="DIV_SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="divider_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>divider_in</ipxact:name>
<ipxact:externalPortReference portRef="divider_in" left="DIV_SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="divider_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="parity_enable" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable" />
<ipxact:internalPortReference componentRef="dut" portRef="parity_enable" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="reset" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset" />
<ipxact:internalPortReference componentRef="dut" portRef="reset" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_in</ipxact:name>
<ipxact:externalPortReference portRef="rts_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_IRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_IRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_IRQ" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_stb" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_stb" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail_stb" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail_stb" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_out" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_out" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="rxd_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_stop_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_stop_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_stop_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_stop_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_break" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_break" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break</ipxact:name>
<ipxact:externalPortReference portRef="txd_break" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_break" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef="txd_buffer_empty" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_buffer_empty" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty_NIRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty_NIRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty_NIRQ" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_data_in" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_data_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef="txd_data_in" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_data_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_load" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_load" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef="txd_load" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_load" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="txd_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_pad_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_parity" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="uart" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="DIV">DIV</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DIV_SIZE">DIV_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRESCALE">PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRE_SIZE">PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="uart" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="DIV">DIV</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="DIV_SIZE">DIV_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRESCALE">PRESCALE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRE_SIZE">PRE_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/sim/testbenches/xml/uart_def_lint.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def_lint</ipxact:version>
 
 
 
44,44 → 44,44
 
 
 
<spirit:model>
<ipxact:model>
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
90,34 → 90,34
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/uart_def_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/uart_def_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_def_tb.xml
27,129 → 27,129
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>def_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>def_tb</ipxact:version>
 
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_def_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_def_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
 
<spirit:model>
<ipxact:model>
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'hc</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIVIDER</spirit:name><spirit:value>4'b0000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'hc</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIVIDER</ipxact:name><ipxact:value>4'b0000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
 
<spirit:views>
<ipxact:views>
 
 
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
158,56 → 158,56
 
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_rx_dut.params.xml
6,37 → 6,37
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rx_duth.design"/>
</spirit:view>
</spirit:views>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PRESCALE</spirit:name><spirit:value>5'b01100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>PRE_SIZE</spirit:name><spirit:value>5</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SIZE</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV</spirit:name><spirit:value>0</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>RX_FIFO_SIZE</spirit:name><spirit:value>3</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>RX_FIFO_WORDS</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rx_duth.design"/>
</ipxact:view>
</ipxact:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PRESCALE</ipxact:name><ipxact:value>5'b01100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>PRE_SIZE</ipxact:name><ipxact:value>5</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SIZE</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV</ipxact:name><ipxact:value>0</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RX_FIFO_SIZE</ipxact:name><ipxact:value>3</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RX_FIFO_WORDS</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
</ipxact:model>
</ipxact:component>
/sim/testbenches/xml/uart_rx_duth.design.xml
6,178 → 6,174
// ./tools/verilog/gen_tb -vendor opencores.org -library logic -component uart -version rx //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk" />
<ipxact:internalPortReference componentRef="dut" portRef="clk" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_out</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_out</ipxact:name>
<ipxact:externalPortReference portRef="cts_out" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>divider_in</spirit:name>
<spirit:externalPortReference spirit:portRef="divider_in" spirit:left="DIV_SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="divider_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>divider_in</ipxact:name>
<ipxact:externalPortReference portRef="divider_in" left="DIV_SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="divider_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="parity_enable" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable" />
<ipxact:internalPortReference componentRef="dut" portRef="parity_enable" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="reset" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset" />
<ipxact:internalPortReference componentRef="dut" portRef="reset" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_in</ipxact:name>
<ipxact:externalPortReference portRef="rts_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_IRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_IRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_IRQ" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_stb" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_stb" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_out" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail_stb" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail_stb" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_out" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="rxd_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_stop_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_stop_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_break" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_break" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_stop_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_stop_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break</ipxact:name>
<ipxact:externalPortReference portRef="txd_break" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_break" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_data_in" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_data_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef="txd_buffer_empty" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_buffer_empty" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef="txd_data_in" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_data_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_load" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_load" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef="txd_load" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_load" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="txd_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_pad_out" />
</ipxact:adHocConnection>
 
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_parity" />
</ipxact:adHocConnection>
 
</spirit:adHocConnections>
<spirit:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="uart" spirit:version="rx" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="DIV">DIV</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DIV_SIZE">DIV_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRESCALE">PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRE_SIZE">PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">SIZE</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="uart" version="rx" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="DIV">DIV</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="DIV_SIZE">DIV_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRESCALE">PRESCALE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRE_SIZE">PRE_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">SIZE</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/sim/testbenches/xml/uart_rx_lint.xml
27,88 → 27,88
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx_lint</ipxact:version>
 
 
 
 
<spirit:model>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rx_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rx_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/uart_rx_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/uart_rx_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
115,4 → 115,4
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_rx_tb.xml
27,128 → 27,128
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rx_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rx_tb</ipxact:version>
 
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rx_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rx_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'hc</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIVIDER</spirit:name><spirit:value>4'b0000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'hc</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIVIDER</ipxact:name><ipxact:value>4'b0000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rx_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rx_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
156,51 → 156,51
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_rx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_rx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_rx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_rx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
208,9 → 208,9
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_rxtx_dut.params.xml
6,39 → 6,39
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rxtx_duth.design"/>
</spirit:view>
</spirit:views>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PRESCALE</spirit:name><spirit:value>5'b01100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>PRE_SIZE</spirit:name><spirit:value>5</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SIZE</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV</spirit:name><spirit:value>0</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TX_FIFO_SIZE</spirit:name><spirit:value>3</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TX_FIFO_WORDS</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>RX_FIFO_SIZE</spirit:name><spirit:value>3</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>RX_FIFO_WORDS</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rxtx_duth.design"/>
</ipxact:view>
</ipxact:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PRESCALE</ipxact:name><ipxact:value>5'b01100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>PRE_SIZE</ipxact:name><ipxact:value>5</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SIZE</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV</ipxact:name><ipxact:value>0</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TX_FIFO_SIZE</ipxact:name><ipxact:value>3</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TX_FIFO_WORDS</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RX_FIFO_SIZE</ipxact:name><ipxact:value>3</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>RX_FIFO_WORDS</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
</ipxact:model>
</ipxact:component>
/sim/testbenches/xml/uart_rxtx_duth.design.xml
6,186 → 6,178
// ./tools/verilog/gen_tb -vendor opencores.org -library logic -component uart -version rxtx //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk" />
<ipxact:internalPortReference componentRef="dut" portRef="clk" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_out</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_out</ipxact:name>
<ipxact:externalPortReference portRef="cts_out" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>divider_in</spirit:name>
<spirit:externalPortReference spirit:portRef="divider_in" spirit:left="DIV_SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="divider_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>divider_in</ipxact:name>
<ipxact:externalPortReference portRef="divider_in" left="DIV_SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="divider_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="parity_enable" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable" />
<ipxact:internalPortReference componentRef="dut" portRef="parity_enable" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="reset" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset" />
<ipxact:internalPortReference componentRef="dut" portRef="reset" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_in</ipxact:name>
<ipxact:externalPortReference portRef="rts_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_IRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_IRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_IRQ" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_stb" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_stb" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_out" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail_stb" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail_stb" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_out" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="rxd_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_stop_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_stop_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_break" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_break" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_stop_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_stop_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break</ipxact:name>
<ipxact:externalPortReference portRef="txd_break" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_break" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty_NIRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty_NIRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty_NIRQ" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef="txd_buffer_empty" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_buffer_empty" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_data_in" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_data_in" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_force_parity" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_load" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_load" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef="txd_data_in" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_data_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef="txd_load" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_load" />
</ipxact:adHocConnection>
 
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="txd_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_pad_out" />
</ipxact:adHocConnection>
 
</spirit:adHocConnections>
<spirit:componentInstances>
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_parity" />
</ipxact:adHocConnection>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="uart" spirit:version="rxtx" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="DIV">DIV</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DIV_SIZE">DIV_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRESCALE">PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRE_SIZE">PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="TX_FIFO_SIZE">TX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="TX_FIFO_WORDS">TX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
 
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="uart" version="rxtx" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="DIV">DIV</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="DIV_SIZE">DIV_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRESCALE">PRESCALE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRE_SIZE">PRE_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_SIZE">RX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="RX_FIFO_WORDS">RX_FIFO_WORDS</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="TX_FIFO_SIZE">TX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="TX_FIFO_WORDS">TX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/sim/testbenches/xml/uart_rxtx_lint.xml
27,92 → 27,92
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx_lint</ipxact:version>
 
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rxtx_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rxtx_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/uart_rxtx_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/uart_rxtx_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_rxtx_tb.xml
27,172 → 27,172
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>rxtx_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>rxtx_tb</ipxact:version>
 
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_rxtx_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_rxtx_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'hc</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIVIDER</spirit:name><spirit:value>4'b0000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'hc</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIVIDER</ipxact:name><ipxact:value>4'b0000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="rxtx_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="rxtx_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
</spirit:views>
</ipxact:views>
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_rxtx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_rxtx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_rxtx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_rxtx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_tx_dut.params.xml
6,37 → 6,37
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="tx_duth.design"/>
</spirit:view>
</spirit:views>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PRESCALE</spirit:name><spirit:value>5'b01100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>PRE_SIZE</spirit:name><spirit:value>5</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SIZE</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV</spirit:name><spirit:value>0</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIV_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TX_FIFO_SIZE</spirit:name><spirit:value>3</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TX_FIFO_WORDS</spirit:name><spirit:value>8</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="tx_duth.design"/>
</ipxact:view>
</ipxact:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PRESCALE</ipxact:name><ipxact:value>5'b01100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>PRE_SIZE</ipxact:name><ipxact:value>5</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SIZE</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV</ipxact:name><ipxact:value>0</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIV_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TX_FIFO_SIZE</ipxact:name><ipxact:value>3</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TX_FIFO_WORDS</ipxact:name><ipxact:value>8</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
</ipxact:model>
</ipxact:component>
/sim/testbenches/xml/uart_tx_duth.design.xml
6,178 → 6,174
// ./tools/verilog/gen_tb -vendor opencores.org -library logic -component uart -version tx //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk" />
<ipxact:internalPortReference componentRef="dut" portRef="clk" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_out</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_out</ipxact:name>
<ipxact:externalPortReference portRef="cts_out" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>divider_in</spirit:name>
<spirit:externalPortReference spirit:portRef="divider_in" spirit:left="DIV_SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="divider_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>divider_in</ipxact:name>
<ipxact:externalPortReference portRef="divider_in" left="DIV_SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="divider_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>parity_enable</spirit:name>
<spirit:externalPortReference spirit:portRef="parity_enable" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="parity_enable" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>parity_enable</ipxact:name>
<ipxact:externalPortReference portRef="parity_enable" />
<ipxact:internalPortReference componentRef="dut" portRef="parity_enable" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="reset" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset" />
<ipxact:internalPortReference componentRef="dut" portRef="reset" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_in</ipxact:name>
<ipxact:externalPortReference portRef="rts_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_avail_stb</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_avail_stb" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_avail_stb" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_avail_stb</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_avail_stb" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_avail_stb" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_data_out</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_data_out" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_data_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_data_out</ipxact:name>
<ipxact:externalPortReference portRef="rxd_data_out" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_data_out" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_pad_in</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_pad_in" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_pad_in" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_pad_in</ipxact:name>
<ipxact:externalPortReference portRef="rxd_pad_in" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_pad_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_parity_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_parity_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_parity_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_parity_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_parity_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_parity_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rxd_stop_error</spirit:name>
<spirit:externalPortReference spirit:portRef="rxd_stop_error" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rxd_stop_error" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rxd_stop_error</ipxact:name>
<ipxact:externalPortReference portRef="rxd_stop_error" />
<ipxact:internalPortReference componentRef="dut" portRef="rxd_stop_error" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_break</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_break" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_break" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_break</ipxact:name>
<ipxact:externalPortReference portRef="txd_break" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_break" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_buffer_empty</ipxact:name>
<ipxact:externalPortReference portRef="txd_buffer_empty" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_buffer_empty" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_buffer_empty_NIRQ</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_buffer_empty_NIRQ" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_buffer_empty_NIRQ" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_data_in</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_data_in" spirit:left="SIZE-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_data_in" />
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_force_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_force_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_force_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_data_in</ipxact:name>
<ipxact:externalPortReference portRef="txd_data_in" left="SIZE-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_data_in" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_load</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_load" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_load" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_force_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_force_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_force_parity" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_pad_out</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_pad_out" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_pad_out" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_load</ipxact:name>
<ipxact:externalPortReference portRef="txd_load" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_load" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>txd_parity</spirit:name>
<spirit:externalPortReference spirit:portRef="txd_parity" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="txd_parity" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>txd_pad_out</ipxact:name>
<ipxact:externalPortReference portRef="txd_pad_out" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_pad_out" />
</ipxact:adHocConnection>
 
<ipxact:adHocConnection>
<ipxact:name>txd_parity</ipxact:name>
<ipxact:externalPortReference portRef="txd_parity" />
<ipxact:internalPortReference componentRef="dut" portRef="txd_parity" />
</ipxact:adHocConnection>
 
</spirit:adHocConnections>
<spirit:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="logic" spirit:name="uart" spirit:version="tx" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="DIV">DIV</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="DIV_SIZE">DIV_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRESCALE">PRESCALE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="PRE_SIZE">PRE_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SIZE">SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="TX_FIFO_SIZE">TX_FIFO_SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="TX_FIFO_WORDS">TX_FIFO_WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="logic" name="uart" version="tx" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="DIV">DIV</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="DIV_SIZE">DIV_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRESCALE">PRESCALE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="PRE_SIZE">PRE_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SIZE">SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="TX_FIFO_SIZE">TX_FIFO_SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="TX_FIFO_WORDS">TX_FIFO_WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/sim/testbenches/xml/uart_tx_lint.xml
27,60 → 27,60
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx_lint</ipxact:version>
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="tx_dut.params"/>
</spirit:vendorExtensions>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="tx_dut.params"/>
</ipxact:vendorExtensions>
 
</spirit:view>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
88,31 → 88,31
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/uart_tx_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/uart_tx_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
119,4 → 119,4
 
 
 
</spirit:component>
</ipxact:component>
/sim/testbenches/xml/uart_tx_tb.xml
27,125 → 27,125
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>logic</spirit:library>
<spirit:name>uart</spirit:name>
<spirit:version>tx_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>logic</ipxact:library>
<ipxact:name>uart</ipxact:name>
<ipxact:version>tx_tb</ipxact:version>
 
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>uart_tx_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>uart_tx_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'hc</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DIVIDER</spirit:name><spirit:value>4'b0000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'hc</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DIVIDER</ipxact:name><ipxact:value>4'b0000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
<spirit:views>
<ipxact:views>
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="tx_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="tx_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="logic"
spirit:name="uart"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="logic"
ipxact:name="uart"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
154,55 → 154,55
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_tx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_tx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/uart_tx_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/uart_tx_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
</spirit:component>
</ipxact:component>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.