OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /socgen/trunk/Projects/opencores.org/wishbone/ip
    from Rev 134 to Rev 135
    Reverse comparison

Rev 134 → Rev 135

/model/bin/Makefile File deleted
/model/componentCfg.xml
3,7 → 3,7
 
-->
<socgen:componentConfiguration
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
 
18,7 → 18,25
<socgen:ip_name_library_sep>_</socgen:ip_name_library_sep>
<socgen:ip_name_version_sep>_</socgen:ip_name_version_sep>
<socgen:ip_name_base_macro>VARIANT</socgen:ip_name_base_macro>
<socgen:systems>
<socgen:system>
<socgen:name>TestBenches</socgen:name>
<socgen:level1>sim</socgen:level1>
<socgen:level2>testbenches</socgen:level2>
<socgen:level3>testbench</socgen:level3>
<socgen:level4>version</socgen:level4>
</socgen:system>
<socgen:system>
<socgen:name>Fpgas</socgen:name>
<socgen:level1>syn</socgen:level1>
<socgen:level2>ise</socgen:level2>
<socgen:level3>chip</socgen:level3>
<socgen:level4>variant</socgen:level4>
</socgen:system>
</socgen:systems>
 
 
 
<socgen:doc>
 
<socgen:library_path>/doc</socgen:library_path>
/model/rtl/xml/model_master.xml
27,95 → 27,96
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>model</spirit:name>
<spirit:version>master</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>model</ipxact:name>
<ipxact:version>master</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>adr</spirit:name>
<spirit:wire><spirit:vector><spirit:left>awidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>awidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>dout</spirit:name>
<spirit:wire><spirit:vector><spirit:left>dwidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>dwidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>din</spirit:name>
<spirit:wire><spirit:vector><spirit:left>dwidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>dwidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>sel</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>sel</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>ack</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>ack</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>cyc</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>stb</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>stb</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>we</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>we</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
123,124 → 124,155
 
 
 
</spirit:portMaps>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:master/>
 
</spirit:busInterface>
 
</spirit:busInterfaces>
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
<spirit:componentGenerators>
 
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_sim</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>model_master</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_sim</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>model_master</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_syn</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>model_master</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog_syn</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>model_master</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
 
</ipxact:componentGenerators>
 
 
 
 
<spirit:model>
 
<ipxact:model>
 
 
<ipxact:instantiations>
<ipxact:componentInstantiation>
<ipxact:name>verilog</ipxact:name>
<ipxact:language>verilog</ipxact:language>
<ipxact:moduleName>model_master</ipxact:moduleName>
<ipxact:moduleParameters>
<ipxact:moduleParameter parameterId="awidth" usageCount="1" usageType="nontyped">
<ipxact:name>awidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
<ipxact:moduleParameter parameterId="dwidth" usageCount="2" usageType="nontyped">
<ipxact:name>dwidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
</ipxact:moduleParameters>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:componentInstantiation>
</ipxact:instantiations>
 
 
 
<spirit:views>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:views>
 
 
<ipxact:view>
<ipxact:name>rtl</ipxact:name>
<ipxact:envIdentifier>verilog:Kactus2:</ipxact:envIdentifier>
<ipxact:componentInstantiationRef>verilog</ipxact:componentInstantiationRef>
</ipxact:view>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
 
 
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
 
 
 
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
 
</spirit:views>
 
 
 
</ipxact:views>
 
 
 
249,184 → 281,184
 
 
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>dwidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>awidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
 
<spirit:ports>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>reset</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>dwidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>awidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
<spirit:port><spirit:name>adr</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>awidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:ports>
 
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dout</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>awidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cyc</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>we</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>cyc</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>sel</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth/8-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>we</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>din</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>dwidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>sel</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth/8-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>ack</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>err</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>ack</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
<ipxact:port><ipxact:name>err</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:model>
<ipxact:port><ipxact:name>rty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
</ipxact:ports>
 
</ipxact:model>
 
 
<spirit:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/master</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:fileSets>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/master.tasks</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/master</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/master_copyright</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/master.tasks</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/model_master</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/master_copyright</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/model_master</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
</ipxact:fileSet>
 
 
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
 
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/master</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/master.tasks</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/master</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/master_copyright</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/master.tasks</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/sim/model_master</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/master_copyright</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/sim/model_master</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSets>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
 
</ipxact:fileSets>
 
 
 
434,4 → 466,7
 
 
 
</spirit:component>
 
 
 
</ipxact:component>
/model/rtl/xml/model_monitor.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>model</spirit:name>
<spirit:version>monitor</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>model</ipxact:name>
<ipxact:version>monitor</ipxact:version>
 
 
 
47,129 → 47,166
 
 
 
<spirit:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../verilog/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:model>
 
 
 
 
</spirit:fileSet>
 
<ipxact:instantiations>
<ipxact:componentInstantiation>
<ipxact:name>verilog</ipxact:name>
<ipxact:language>verilog</ipxact:language>
<ipxact:moduleName>model_monitor</ipxact:moduleName>
<ipxact:moduleParameters>
<ipxact:moduleParameter parameterId="ADD_WIDTH" usageCount="1" usageType="nontyped">
<ipxact:name>ADD_WIDTH</ipxact:name>
<ipxact:value>8</ipxact:value>
</ipxact:moduleParameter>
<ipxact:moduleParameter parameterId="DATA_WIDTH" usageCount="2" usageType="nontyped">
<ipxact:name>DATAWIDTH</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
</ipxact:moduleParameters>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:componentInstantiation>
</ipxact:instantiations>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:views>
 
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../verilog/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:view>
<ipxact:name>rtl</ipxact:name>
<ipxact:envIdentifier>verilog:Kactus2:</ipxact:envIdentifier>
<ipxact:componentInstantiationRef>verilog</ipxact:componentInstantiationRef>
</ipxact:view>
</ipxact:views>
 
 
</spirit:fileSet>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>TEST_NAME</ipxact:name><ipxact:value>"unspecified"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>INSTANCE</ipxact:name><ipxact:value>"none"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>ADD_WIDTH</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>DATA_WIDTH</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
<ipxact:ports>
 
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:fileSets>
<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>wb_adr</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>ADD_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>wb_ack</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>wb_err</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>wb_cyc</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>wb_stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:model>
<ipxact:port><ipxact:name>wb_we</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<ipxact:port><ipxact:name>wb_read</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DATA_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>TEST_NAME</spirit:name><spirit:value>"unspecified"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>INSTANCE</spirit:name><spirit:value>"none"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>ADD_WIDTH</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>DATA_WIDTH</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:port><ipxact:name>wb_write</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>DATA_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:ports>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>wb_sel</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>reset</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
</ipxact:ports>
 
<spirit:port><spirit:name>wb_adr</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>ADD_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
</ipxact:model>
 
<spirit:port><spirit:name>wb_ack</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:fileSets>
 
<spirit:port><spirit:name>wb_err</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>wb_cyc</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:port><spirit:name>wb_stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../verilog/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:port><spirit:name>wb_we</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
 
<spirit:port><spirit:name>wb_read</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DATA_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>wb_write</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>DATA_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
</ipxact:fileSet>
 
 
<spirit:port><spirit:name>wb_sel</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
</spirit:ports>
 
</spirit:model>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../verilog/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
 
 
</ipxact:fileSets>
 
 
 
 
 
</spirit:component>
 
 
 
 
 
 
 
</ipxact:component>
/model/rtl/xml/model_slave.xml
27,107 → 27,112
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>model</spirit:name>
<spirit:version>slave</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>model</ipxact:name>
<ipxact:version>slave</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>adr</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_addr_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>dout</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_addr_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>din</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>sel</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>ack</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>sel</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>cyc</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>ack</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>stb</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>we</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>stb</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>we</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
</ipxact:portMaps>
 
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
 
 
</spirit:portMaps>
</ipxact:busInterface>
 
</spirit:busInterface>
</ipxact:busInterfaces>
 
</spirit:busInterfaces>
 
 
 
136,129 → 141,162
 
 
 
<spirit:fileSets>
<ipxact:model>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../verilog/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:instantiations>
<ipxact:componentInstantiation>
<ipxact:name>verilog</ipxact:name>
<ipxact:language>verilog</ipxact:language>
<ipxact:moduleName>cde_sram_def</ipxact:moduleName>
<ipxact:moduleParameters>
<ipxact:moduleParameter parameterId="awidth" usageCount="1" usageType="nontyped">
<ipxact:name>awidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
<ipxact:moduleParameter parameterId="awidth" usageCount="2" usageType="nontyped">
<ipxact:name>awidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
</ipxact:moduleParameters>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:componentInstantiation>
</ipxact:instantiations>
 
</spirit:fileSet>
<ipxact:views>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<ipxact:view>
<ipxact:name>rtl</ipxact:name>
<ipxact:envIdentifier>verilog:Kactus2:</ipxact:envIdentifier>
<ipxact:componentInstantiationRef>verilog</ipxact:componentInstantiationRef>
</ipxact:view>
</ipxact:views>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../verilog/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>dwidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>awidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
</spirit:fileSet>
<ipxact:ports>
 
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:fileSets>
<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>awidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
 
<ipxact:port><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
 
<spirit:model>
<ipxact:port><ipxact:name>cyc</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>we</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>dwidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>awidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:port><ipxact:name>sel</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth/8-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:ports>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>reset</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>adr</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>awidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ack</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>err</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dout</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>cyc</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
</ipxact:ports>
 
<spirit:port><spirit:name>stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
</ipxact:model>
 
<spirit:port><spirit:name>we</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
 
<spirit:port><spirit:name>sel</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth/8-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
 
<spirit:port><spirit:name>din</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>dwidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
 
<spirit:port><spirit:name>ack</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:fileSets>
 
<spirit:port><spirit:name>err</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>rty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../verilog/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:ports>
</ipxact:fileSet>
 
</spirit:model>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../verilog/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
 
</ipxact:fileSets>
 
 
</spirit:component>
 
 
 
</ipxact:component>
/wb_memory/componentCfg.xml
3,7 → 3,7
 
-->
<socgen:componentConfiguration
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
 
17,7 → 17,25
<socgen:ip_name_version_sep>_</socgen:ip_name_version_sep>
<socgen:ip_name_base_macro>VARIANT</socgen:ip_name_base_macro>
 
<socgen:systems>
<socgen:system>
<socgen:name>TestBenches</socgen:name>
<socgen:level1>sim</socgen:level1>
<socgen:level2>testbenches</socgen:level2>
<socgen:level3>testbench</socgen:level3>
<socgen:level4>version</socgen:level4>
</socgen:system>
<socgen:system>
<socgen:name>Fpgas</socgen:name>
<socgen:level1>syn</socgen:level1>
<socgen:level2>ise</socgen:level2>
<socgen:level3>chip</socgen:level3>
<socgen:level4>variant</socgen:level4>
</socgen:system>
</socgen:systems>
 
 
 
<socgen:doc>
 
<socgen:library_path>/doc</socgen:library_path>
/wb_memory/rtl/xml/wb_memory_def.design.xml
27,145 → 27,145
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def.design</ipxact:version>
 
 
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk_i</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="rambyte_0" portRef="clk"/>
<ipxact:internalPortReference componentRef="rambyte_1" portRef="clk"/>
<ipxact:internalPortReference componentRef="rambyte_2" portRef="clk"/>
<ipxact:internalPortReference componentRef="rambyte_3" portRef="clk"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel" spirit:left="0" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="be"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel" left="0" right="0" />
<ipxact:internalPortReference componentRef="rambyte_0" portRef="be"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel" spirit:left="1" spirit:right="1" />
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="be"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel" left="1" right="1" />
<ipxact:internalPortReference componentRef="rambyte_1" portRef="be"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel" spirit:left="2" spirit:right="2" />
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="be"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel" left="2" right="2" />
<ipxact:internalPortReference componentRef="rambyte_2" portRef="be"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel" spirit:left="3" spirit:right="3" />
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="be"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel" left="3" right="3" />
<ipxact:internalPortReference componentRef="rambyte_3" portRef="be"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_wdata" spirit:left="7" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="wdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_wdata" left="7" right="0" />
<ipxact:internalPortReference componentRef="rambyte_0" portRef="wdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_wdata" spirit:left="15" spirit:right="8" />
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="wdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_wdata" left="15" right="8" />
<ipxact:internalPortReference componentRef="rambyte_1" portRef="wdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_wdata" spirit:left="23" spirit:right="16" />
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="wdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_wdata" left="23" right="16" />
<ipxact:internalPortReference componentRef="rambyte_2" portRef="wdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_wdata" spirit:left="31" spirit:right="24" />
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="wdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_wdata" left="31" right="24" />
<ipxact:internalPortReference componentRef="rambyte_3" portRef="wdata"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rdata" spirit:left="7" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="rdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_rdata" left="7" right="0" />
<ipxact:internalPortReference componentRef="rambyte_0" portRef="rdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rdata" spirit:left="15" spirit:right="8" />
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="rdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_rdata" left="15" right="8" />
<ipxact:internalPortReference componentRef="rambyte_1" portRef="rdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rdata" spirit:left="23" spirit:right="16" />
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="rdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_rdata" left="23" right="16" />
<ipxact:internalPortReference componentRef="rambyte_2" portRef="rdata"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rdata" spirit:left="31" spirit:right="24" />
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="rdata"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_rdata" left="31" right="24" />
<ipxact:internalPortReference componentRef="rambyte_3" portRef="rdata"/>
</ipxact:adHocConnection>
 
 
 
 
<spirit:adHocConnection>
<spirit:name>adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr" spirit:left="adr_width+1" spirit:right="2" />
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="addr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="addr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="addr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="addr"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr" left="adr_width+1" right="2" />
<ipxact:internalPortReference componentRef="rambyte_0" portRef="addr"/>
<ipxact:internalPortReference componentRef="rambyte_1" portRef="addr"/>
<ipxact:internalPortReference componentRef="rambyte_2" portRef="addr"/>
<ipxact:internalPortReference componentRef="rambyte_3" portRef="addr"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>sram_wr</spirit:name>
<spirit:externalPortReference spirit:portRef="sram_wr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="wr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="wr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="wr"/>
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="wr"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sram_wr</ipxact:name>
<ipxact:externalPortReference portRef="sram_wr"/>
<ipxact:internalPortReference componentRef="rambyte_0" portRef="wr"/>
<ipxact:internalPortReference componentRef="rambyte_1" portRef="wr"/>
<ipxact:internalPortReference componentRef="rambyte_2" portRef="wr"/>
<ipxact:internalPortReference componentRef="rambyte_3" portRef="wr"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection spirit:tiedValue="1'b1" >
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="rd"/>
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="rd"/>
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="rd"/>
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="rd"/>
<spirit:internalPortReference spirit:componentRef="rambyte_0" spirit:portRef="cs"/>
<spirit:internalPortReference spirit:componentRef="rambyte_1" spirit:portRef="cs"/>
<spirit:internalPortReference spirit:componentRef="rambyte_2" spirit:portRef="cs"/>
<spirit:internalPortReference spirit:componentRef="rambyte_3" spirit:portRef="cs"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b1" >
<ipxact:internalPortReference componentRef="rambyte_0" portRef="rd"/>
<ipxact:internalPortReference componentRef="rambyte_1" portRef="rd"/>
<ipxact:internalPortReference componentRef="rambyte_2" portRef="rd"/>
<ipxact:internalPortReference componentRef="rambyte_3" portRef="rd"/>
<ipxact:internalPortReference componentRef="rambyte_0" portRef="cs"/>
<ipxact:internalPortReference componentRef="rambyte_1" portRef="cs"/>
<ipxact:internalPortReference componentRef="rambyte_2" portRef="cs"/>
<ipxact:internalPortReference componentRef="rambyte_3" portRef="cs"/>
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
172,57 → 172,57
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>rambyte_0</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="byte" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">adr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">mem_size</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WRITETHRU">0</spirit:configurableElementValue>
<ipxact:componentInstance>
<ipxact:instanceName>rambyte_0</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sram" version="byte" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="ADDR">adr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">mem_size</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WRITETHRU">0</ipxact:configurableElementValue>
 
</spirit:configurableElementValues>
</spirit:componentInstance>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
<spirit:componentInstance>
<spirit:instanceName>rambyte_1</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="byte" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">adr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">mem_size</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WRITETHRU">0</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>rambyte_1</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sram" version="byte" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="ADDR">adr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">mem_size</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WRITETHRU">0</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>rambyte_2</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="byte" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">adr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">mem_size</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WRITETHRU">0</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>rambyte_2</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sram" version="byte" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="ADDR">adr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">mem_size</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WRITETHRU">0</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>rambyte_3</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="sram" spirit:version="byte" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="ADDR">adr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">mem_size</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WRITETHRU">0</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>rambyte_3</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="sram" version="byte" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="ADDR">adr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">mem_size</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WRITETHRU">0</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
 
 
 
 
</spirit:design>
</ipxact:design>
/wb_memory/rtl/xml/wb_memory_def.xml
27,161 → 27,174
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>slave_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>slave_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>slave_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_addr_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_addr_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>sel_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_byte_lanes-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>sel_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_byte_lanes-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>ack_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>ack_o</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
</spirit:portMaps>
</ipxact:portMaps>
 
</spirit:busInterface>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
</spirit:busInterfaces>
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
 
 
<spirit:componentGenerators>
 
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_memory_def</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_memory_def</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
</spirit:componentGenerators>
 
</ipxact:componentGenerators>
 
 
 
189,29 → 202,35
 
 
 
<spirit:model>
<spirit:views>
 
<ipxact:model>
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Hierarchical</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="wishbone" name="wb_memory" version="def.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
<ipxact:views>
 
<spirit:view>
<spirit:name>Hierarchical</spirit:name>
 
 
<ipxact:view>
<ipxact:name>Hierarchical</ipxact:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_memory"
spirit:version="def.design"/>
</spirit:view>
<ipxact:designInstantiationRef>Hierarchical</ipxact:designInstantiationRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
218,116 → 237,116
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>wb_addr_width</spirit:name><spirit:value>24</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_data_width</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_byte_lanes</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>dat_width</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>adr_width</spirit:name><spirit:value>14</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>mem_size</spirit:name><spirit:value>16384</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_0_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_1_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_2_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_3_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>wb_addr_width</ipxact:name><ipxact:value>24</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>wb_data_width</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>wb_byte_lanes</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>dat_width</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>adr_width</ipxact:name><ipxact:value>14</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>mem_size</ipxact:name><ipxact:value>16384</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_0_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_1_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_2_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_3_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
 
</spirit:modelParameters>
</ipxact:modelParameters>
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_memory_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_memory_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
 
335,44 → 354,44
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_memory_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_memory_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_memory/sim/testbenches/xml/wb_memory_bfm.design.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>bfm.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>bfm.design</ipxact:version>
 
 
 
44,97 → 44,97
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
 
<spirit:adHocConnection>
<spirit:name>clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="slave_clk_clk"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk_i</ipxact:name>
<ipxact:externalPortReference portRef="slave_clk_clk"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="clk"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="reset"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="adr_i" spirit:left="wb_addr_width-1" spirit:right="0"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="adr"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>adr_i</ipxact:name>
<ipxact:externalPortReference portRef="adr_i" left="wb_addr_width-1" right="0"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="adr"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dat_o" spirit:left="wb_data_width-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="din"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="dat_o" left="wb_data_width-1" right="0" />
<ipxact:internalPortReference componentRef="i_wb_master" portRef="din"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dat_i" spirit:left="wb_data_width-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="dout"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="dat_i" left="wb_data_width-1" right="0" />
<ipxact:internalPortReference componentRef="i_wb_master" portRef="dout"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="ack_o"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="ack"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ack_o</ipxact:name>
<ipxact:externalPortReference portRef="ack_o"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="ack"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="sel_i" spirit:left="wb_byte_lanes-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="sel"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="sel_i" left="wb_byte_lanes-1" right="0" />
<ipxact:internalPortReference componentRef="i_wb_master" portRef="sel"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="stb_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stb_i</ipxact:name>
<ipxact:externalPortReference portRef="stb_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="stb"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cyc_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="cyc"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="cyc_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="cyc"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="we_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="we"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>we_i</ipxact:name>
<ipxact:externalPortReference portRef="we_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="we"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="err"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="rty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b0" >
<ipxact:internalPortReference componentRef="i_wb_master" portRef="err"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="rty"/>
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>i_wb_master</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="model" spirit:version="master" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="dwidth">wb_data_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="awidth">wb_addr_width</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>i_wb_master</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="wishbone" name="model" version="master" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="dwidth">wb_data_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="awidth">wb_addr_width</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
</spirit:design>
</ipxact:design>
/wb_memory/sim/testbenches/xml/wb_memory_def_dut.params.xml
6,40 → 6,40
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_memory"
spirit:version="def_duth.design"/>
</spirit:view>
</spirit:views>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>wb_addr_width</spirit:name><spirit:value>24</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_data_width</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>wb_byte_lanes</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>dat_width</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>adr_width</spirit:name><spirit:value>14</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>mem_size</spirit:name><spirit:value>16384</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_0_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_1_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_2_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>SRAM_MEM_3_FILE</spirit:name><spirit:value>"NONE"</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_memory"
ipxact:version="def_duth.design"/>
</ipxact:view>
</ipxact:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>wb_addr_width</ipxact:name><ipxact:value>24</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>wb_data_width</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>wb_byte_lanes</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>dat_width</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>adr_width</ipxact:name><ipxact:value>14</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>mem_size</ipxact:name><ipxact:value>16384</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_0_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_1_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_2_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>SRAM_MEM_3_FILE</ipxact:name><ipxact:value>"NONE"</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
</ipxact:model>
</ipxact:component>
/wb_memory/sim/testbenches/xml/wb_memory_def_duth.design.xml
6,97 → 6,97
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_memory -version def //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ack_o</ipxact:name>
<ipxact:externalPortReference portRef="ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="adr_i" spirit:left="wb_addr_width-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>adr_i</ipxact:name>
<ipxact:externalPortReference portRef="adr_i" left="wb_addr_width-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk_i</ipxact:name>
<ipxact:externalPortReference portRef="clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dat_i" spirit:left="wb_data_width-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_i</ipxact:name>
<ipxact:externalPortReference portRef="dat_i" left="wb_data_width-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dat_o" spirit:left="wb_data_width-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dat_o</ipxact:name>
<ipxact:externalPortReference portRef="dat_o" left="wb_data_width-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rst_i</ipxact:name>
<ipxact:externalPortReference portRef="rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="sel_i" spirit:left="wb_byte_lanes-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>sel_i</ipxact:name>
<ipxact:externalPortReference portRef="sel_i" left="wb_byte_lanes-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stb_i</ipxact:name>
<ipxact:externalPortReference portRef="stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>we_i</ipxact:name>
<ipxact:externalPortReference portRef="we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_memory" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SRAM_MEM_0_FILE">SRAM_MEM_0_FILE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SRAM_MEM_1_FILE">SRAM_MEM_1_FILE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SRAM_MEM_2_FILE">SRAM_MEM_2_FILE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="SRAM_MEM_3_FILE">SRAM_MEM_3_FILE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="adr_width">adr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="dat_width">dat_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="mem_size">mem_size</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="wb_addr_width">wb_addr_width</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="wb_byte_lanes">wb_byte_lanes</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="wb_data_width">wb_data_width</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_memory" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SRAM_MEM_0_FILE">SRAM_MEM_0_FILE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SRAM_MEM_1_FILE">SRAM_MEM_1_FILE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SRAM_MEM_2_FILE">SRAM_MEM_2_FILE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="SRAM_MEM_3_FILE">SRAM_MEM_3_FILE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="adr_width">adr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="dat_width">dat_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="mem_size">mem_size</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="wb_addr_width">wb_addr_width</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="wb_byte_lanes">wb_byte_lanes</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="wb_data_width">wb_data_width</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_memory/sim/testbenches/xml/wb_memory_def_lint.xml
27,58 → 27,58
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def_lint</ipxact:version>
 
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_memory"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_memory"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
86,39 → 86,39
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
 
<spirit:fileSet>
<ipxact:fileSet>
 
<spirit:name>fs-lint</spirit:name>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_memory_def_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_memory_def_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
</spirit:component>
</ipxact:component>
 
 
/wb_memory/sim/testbenches/xml/wb_memory_def_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_memory</spirit:name>
<spirit:version>def_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_memory</ipxact:name>
<ipxact:version>def_tb</ipxact:version>
 
 
 
44,100 → 44,100
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_memory_def_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_memory_def_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_memory"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_memory"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_memory"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_memory"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
144,63 → 144,63
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_memory_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_memory_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_memory_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_memory_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
 
 
/wb_model/bin/Makefile File deleted
/wb_model/componentCfg.xml
3,7 → 3,7
 
-->
<socgen:componentConfiguration
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
 
16,7 → 16,25
<socgen:ip_name_library_sep>_</socgen:ip_name_library_sep>
<socgen:ip_name_version_sep>_</socgen:ip_name_version_sep>
<socgen:ip_name_base_macro>VARIANT</socgen:ip_name_base_macro>
<socgen:systems>
<socgen:system>
<socgen:name>TestBenches</socgen:name>
<socgen:level1>sim</socgen:level1>
<socgen:level2>testbenches</socgen:level2>
<socgen:level3>testbench</socgen:level3>
<socgen:level4>version</socgen:level4>
</socgen:system>
<socgen:system>
<socgen:name>Fpgas</socgen:name>
<socgen:level1>syn</socgen:level1>
<socgen:level2>ise</socgen:level2>
<socgen:level3>chip</socgen:level3>
<socgen:level4>variant</socgen:level4>
</socgen:system>
</socgen:systems>
 
 
 
<socgen:doc>
 
<socgen:library_path>/doc</socgen:library_path>
/wb_model/rtl/xml/wb_model_master.xml
27,96 → 27,97
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_model</spirit:name>
<spirit:version>master</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_model</ipxact:name>
<ipxact:version>master</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:master/>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>adr</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_addr_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMaps>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_addr_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>dout</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>din</spirit:name>
<spirit:wire><spirit:vector><spirit:left>wb_data_width-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>wb_data_width-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>sel</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>sel</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>ack</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>ack</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>cyc</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>stb</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>stb</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>we</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>we</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
123,241 → 124,279
 
 
 
</spirit:portMaps>
 
</spirit:busInterface>
</ipxact:portMaps>
 
</spirit:busInterfaces>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:master/>
 
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
 
<spirit:componentGenerators>
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_sim</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../views</spirit:value>
</spirit:parameter>
</spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>sim</spirit:value>
</spirit:parameter>
</spirit:componentGenerator>
 
<ipxact:componentGenerators>
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_syn</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../views</spirit:value>
</spirit:parameter>
</spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>syn</spirit:value>
</spirit:parameter>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_sim</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../views</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>sim</ipxact:value>
</ipxact:parameter>
</ipxact:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../views</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:componentGenerator>
 
 
</spirit:componentGenerators>
 
 
</ipxact:componentGenerators>
 
 
<spirit:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:model>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.sim</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
<ipxact:instantiations>
<ipxact:componentInstantiation>
<ipxact:name>verilog</ipxact:name>
<ipxact:language>verilog</ipxact:language>
<ipxact:moduleName>wb_model_master</ipxact:moduleName>
<ipxact:moduleParameters>
<ipxact:moduleParameter parameterId="awidth" usageCount="1" usageType="nontyped">
<ipxact:name>awidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
<ipxact:moduleParameter parameterId="dwidth" usageCount="2" usageType="nontyped">
<ipxact:name>dwidth</ipxact:name>
<ipxact:value>32</ipxact:value>
</ipxact:moduleParameter>
</ipxact:moduleParameters>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:componentInstantiation>
</ipxact:instantiations>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.syn</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:views>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
<ipxact:view>
<ipxact:name>rtl</ipxact:name>
<ipxact:envIdentifier>verilog:Kactus2:</ipxact:envIdentifier>
<ipxact:componentInstantiationRef>verilog</ipxact:componentInstantiationRef>
</ipxact:view>
 
</spirit:fileSet>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
</spirit:fileSets>
 
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</ipxact:views>
 
 
 
<spirit:model>
<spirit:views>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>dwidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>awidth</ipxact:name><ipxact:value>32</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
<ipxact:ports>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>adr</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>awidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
 
</spirit:views>
<ipxact:port><ipxact:name>dout</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
 
<ipxact:port><ipxact:name>cyc</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>dwidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>awidth</spirit:name><spirit:value>32</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:port><ipxact:name>stb</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:ports>
<ipxact:port><ipxact:name>we</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>reset</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>sel</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth/8-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>adr</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>awidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>din</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction>
<ipxact:vectors><ipxact:vector><ipxact:left>dwidth-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dout</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>ack</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cyc</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>err</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stb</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rty</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>we</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
</ipxact:ports>
 
<spirit:port><spirit:name>sel</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction>
<spirit:vector><spirit:left>dwidth/8-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
</ipxact:model>
 
 
<spirit:port><spirit:name>din</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction>
<spirit:vector><spirit:left>dwidth-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:port>
 
<ipxact:fileSets>
 
<spirit:port><spirit:name>ack</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>err</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:port><spirit:name>rty</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.sim</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:ports>
</ipxact:fileSet>
 
</spirit:model>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.syn</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
 
</ipxact:fileSets>
 
</spirit:component>
 
 
 
 
 
 
 
 
</ipxact:component>
/wb_uart16550/componentCfg.xml
3,7 → 3,7
 
-->
<socgen:componentConfiguration
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance">
 
17,8 → 17,26
<socgen:ip_name_library_sep>_</socgen:ip_name_library_sep>
<socgen:ip_name_version_sep>_</socgen:ip_name_version_sep>
<socgen:ip_name_base_macro>VARIANT</socgen:ip_name_base_macro>
<socgen:systems>
<socgen:system>
<socgen:name>TestBenches</socgen:name>
<socgen:level1>sim</socgen:level1>
<socgen:level2>testbenches</socgen:level2>
<socgen:level3>testbench</socgen:level3>
<socgen:level4>version</socgen:level4>
</socgen:system>
<socgen:system>
<socgen:name>Fpgas</socgen:name>
<socgen:level1>syn</socgen:level1>
<socgen:level2>ise</socgen:level2>
<socgen:level3>chip</socgen:level3>
<socgen:level4>variant</socgen:level4>
</socgen:system>
</socgen:systems>
 
 
 
 
<socgen:doc>
 
<socgen:library_path>/doc</socgen:library_path>
/wb_uart16550/rtl/xml/wb_uart16550_bus16_big.xml
27,651 → 27,665
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_big</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_big</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>wb_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:endianness>big</spirit:endianness>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>1</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_sel_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_ack_o</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
 
 
</spirit:portMaps>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:endianness>big</ipxact:endianness>
<ipxact:bitsInLau>8</ipxact:bitsInLau>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
</spirit:busInterface>
 
</spirit:busInterfaces>
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
 
 
<spirit:componentGenerators>
 
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_registers</spirit:name>
<spirit:phase>102.1</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>bus_intf</spirit:name>
<spirit:value>wb</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../verilog</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_registers</ipxact:name>
<ipxact:phase>102.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>bus_intf</ipxact:name>
<ipxact:value>wb</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../verilog</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus16_big</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus16_big</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
</spirit:componentGenerators>
 
</ipxact:componentGenerators>
 
<spirit:fileSets>
 
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
 
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_big</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_big</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus16_big_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus16_big_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
 
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_big</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_big</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus16_big_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus16_big_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
</ipxact:fileSet>
 
</spirit:fileSets>
 
</ipxact:fileSets>
 
 
 
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:model>
<ipxact:views>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
 
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>baud_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>baud_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dcd_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dsr_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dtr_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>int_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>int_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>ri_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ri_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>srx_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>srx_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stx_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stx_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:memoryMaps>
<ipxact:memoryMaps>
 
<spirit:memoryMap>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:name>wb</spirit:name>
<spirit:bank>
<spirit:name>wb</spirit:name>
<spirit:baseAddress>0x00</spirit:baseAddress>
<ipxact:memoryMap>
<ipxact:addressUnitBits>8</ipxact:addressUnitBits>
<ipxact:name>wb</ipxact:name>
<ipxact:bank>
<ipxact:name>wb</ipxact:name>
<ipxact:baseAddress>0x00</ipxact:baseAddress>
<spirit:addressBlock>
<spirit:name>mb_microbus</spirit:name>
<spirit:range>0x100</spirit:range>
<spirit:width>16</spirit:width>
<ipxact:addressBlock>
<ipxact:name>mb_microbus</ipxact:name>
<ipxact:range>0x100</ipxact:range>
<ipxact:width>16</ipxact:width>
 
 
<spirit:register>
<spirit:name>rb_dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>rb_dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>tr_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>tr_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>ie_dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ie_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
<spirit:register>
<spirit:name>ii_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ii_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>fc_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>fc_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>lc_reg</spirit:name>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>lc_reg</ipxact:name>
<ipxact:addressOffset>0x3</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>mc_reg</spirit:name>
<spirit:addressOffset>0x4</spirit:addressOffset>
<spirit:size>5</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>mc_reg</ipxact:name>
<ipxact:addressOffset>0x4</ipxact:addressOffset>
<ipxact:size>5</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ls_reg</spirit:name>
<spirit:addressOffset>0x5</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ls_reg</ipxact:name>
<ipxact:addressOffset>0x5</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ms_reg</spirit:name>
<spirit:addressOffset>0x6</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ms_reg</ipxact:name>
<ipxact:addressOffset>0x6</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>sr_reg</spirit:name>
<spirit:addressOffset>0x7</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>sr_reg</ipxact:name>
<ipxact:addressOffset>0x7</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_0_reg</spirit:name>
<spirit:addressOffset>0x8</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_0_reg</ipxact:name>
<ipxact:addressOffset>0x8</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_1_reg</spirit:name>
<spirit:addressOffset>0xc</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_1_reg</ipxact:name>
<ipxact:addressOffset>0xc</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
</spirit:addressBlock>
</ipxact:addressBlock>
 
 
</spirit:bank>
</ipxact:bank>
 
</spirit:memoryMap></spirit:memoryMaps>
</ipxact:memoryMap></ipxact:memoryMaps>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/rtl/xml/wb_uart16550_bus16_lit.xml
27,639 → 27,654
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_lit</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_lit</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>wb_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
 
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:endianness>little</spirit:endianness>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>1</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>1</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>15</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>15</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_sel_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_ack_o</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
 
 
</spirit:portMaps>
</ipxact:portMaps>
 
</spirit:busInterface>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:endianness>little</ipxact:endianness>
<ipxact:bitsInLau>8</ipxact:bitsInLau>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
</spirit:busInterfaces>
 
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
 
<spirit:componentGenerators>
 
 
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_registers</spirit:name>
<spirit:phase>102.1</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>bus_intf</spirit:name>
<spirit:value>wb</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../verilog</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_registers</ipxact:name>
<ipxact:phase>102.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>bus_intf</ipxact:name>
<ipxact:value>wb</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../verilog</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus16_lit</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus16_lit</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
 
 
<spirit:fileSets>
</ipxact:componentGenerators>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSets>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
 
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_lit</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_lit</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus16_lit_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus16_lit_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
</ipxact:fileSet>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_lit</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_lit</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus16_lit_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus16_lit_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
 
 
</ipxact:fileSet>
 
 
</spirit:fileSets>
 
 
</ipxact:fileSets>
 
 
 
<spirit:model>
<spirit:views>
 
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:model>
<ipxact:views>
 
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
 
 
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>baud_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>baud_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dcd_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dsr_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dtr_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>int_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>int_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>ri_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ri_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>srx_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>srx_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stx_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stx_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:memoryMaps>
<ipxact:memoryMaps>
 
<spirit:memoryMap>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:name>wb</spirit:name>
<spirit:bank>
<spirit:name>wb</spirit:name>
<spirit:baseAddress>0x00</spirit:baseAddress>
<ipxact:memoryMap>
<ipxact:addressUnitBits>8</ipxact:addressUnitBits>
<ipxact:name>wb</ipxact:name>
<ipxact:bank>
<ipxact:name>wb</ipxact:name>
<ipxact:baseAddress>0x00</ipxact:baseAddress>
<spirit:addressBlock>
<spirit:name>mb_microbus</spirit:name>
<spirit:range>0x100</spirit:range>
<spirit:width>16</spirit:width>
<ipxact:addressBlock>
<ipxact:name>mb_microbus</ipxact:name>
<ipxact:range>0x100</ipxact:range>
<ipxact:width>16</ipxact:width>
 
 
<spirit:register>
<spirit:name>rb_dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>rb_dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>tr_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>tr_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>ie_dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ie_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
<spirit:register>
<spirit:name>ii_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ii_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>fc_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>fc_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>lc_reg</spirit:name>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>lc_reg</ipxact:name>
<ipxact:addressOffset>0x3</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>mc_reg</spirit:name>
<spirit:addressOffset>0x4</spirit:addressOffset>
<spirit:size>5</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>mc_reg</ipxact:name>
<ipxact:addressOffset>0x4</ipxact:addressOffset>
<ipxact:size>5</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ls_reg</spirit:name>
<spirit:addressOffset>0x5</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ls_reg</ipxact:name>
<ipxact:addressOffset>0x5</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ms_reg</spirit:name>
<spirit:addressOffset>0x6</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ms_reg</ipxact:name>
<ipxact:addressOffset>0x6</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>sr_reg</spirit:name>
<spirit:addressOffset>0x7</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>sr_reg</ipxact:name>
<ipxact:addressOffset>0x7</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_0_reg</spirit:name>
<spirit:addressOffset>0x8</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_0_reg</ipxact:name>
<ipxact:addressOffset>0x8</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_1_reg</spirit:name>
<spirit:addressOffset>0xc</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_1_reg</ipxact:name>
<ipxact:addressOffset>0xc</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
 
670,14 → 685,14
 
 
 
</spirit:addressBlock>
</ipxact:addressBlock>
 
 
</spirit:bank>
</ipxact:bank>
 
</spirit:memoryMap></spirit:memoryMaps>
</ipxact:memoryMap></ipxact:memoryMaps>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/rtl/xml/wb_uart16550_bus32_big.xml
27,648 → 27,663
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_big</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_big</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
 
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
<spirit:busInterface><spirit:name>wb_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
 
<ipxact:busInterface><ipxact:name>wb_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
 
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:endianness>big</spirit:endianness>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>2</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>2</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>31</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>31</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_sel_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_ack_o</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
</spirit:portMaps>
 
</spirit:busInterface>
 
</spirit:busInterfaces>
</ipxact:portMaps>
 
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:endianness>big</ipxact:endianness>
<ipxact:bitsInLau>8</ipxact:bitsInLau>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
<spirit:componentGenerators>
 
 
 
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_registers</spirit:name>
<spirit:phase>102.1</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>bus_intf</spirit:name>
<spirit:value>wb</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../verilog</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_registers</ipxact:name>
<ipxact:phase>102.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>bus_intf</ipxact:name>
<ipxact:value>wb</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../verilog</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus32_big</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus32_big</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
 
 
<spirit:fileSets>
 
</ipxact:componentGenerators>
 
 
<ipxact:fileSets>
 
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
 
</spirit:fileSet>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_big</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_big</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus32_big_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus32_big_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
</ipxact:fileSet>
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_big</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus32_big_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_big</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus32_big_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
</spirit:fileSets>
 
 
 
</ipxact:fileSets>
 
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
 
<ipxact:model>
<ipxact:views>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
 
 
 
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>baud_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>baud_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dcd_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dsr_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dtr_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>int_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>int_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>ri_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ri_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>srx_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>srx_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stx_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stx_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:name>wb</spirit:name>
<spirit:bank>
<spirit:name>wb</spirit:name>
<spirit:baseAddress>0x00</spirit:baseAddress>
<ipxact:memoryMaps>
<ipxact:memoryMap>
<ipxact:addressUnitBits>8</ipxact:addressUnitBits>
<ipxact:name>wb</ipxact:name>
<ipxact:bank>
<ipxact:name>wb</ipxact:name>
<ipxact:baseAddress>0x00</ipxact:baseAddress>
<spirit:addressBlock>
<spirit:name>mb_microbus</spirit:name>
<spirit:range>0x100</spirit:range>
<spirit:width>32</spirit:width>
<ipxact:addressBlock>
<ipxact:name>mb_microbus</ipxact:name>
<ipxact:range>0x100</ipxact:range>
<ipxact:width>32</ipxact:width>
 
 
<spirit:register>
<spirit:name>rb_dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>rb_dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>tr_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>tr_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>ie_dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ie_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
 
<spirit:register>
<spirit:name>ii_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ii_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>fc_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>fc_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>lc_reg</spirit:name>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>lc_reg</ipxact:name>
<ipxact:addressOffset>0x3</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>mc_reg</spirit:name>
<spirit:addressOffset>0x4</spirit:addressOffset>
<spirit:size>5</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>mc_reg</ipxact:name>
<ipxact:addressOffset>0x4</ipxact:addressOffset>
<ipxact:size>5</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ls_reg</spirit:name>
<spirit:addressOffset>0x5</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ls_reg</ipxact:name>
<ipxact:addressOffset>0x5</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ms_reg</spirit:name>
<spirit:addressOffset>0x6</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ms_reg</ipxact:name>
<ipxact:addressOffset>0x6</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>sr_reg</spirit:name>
<spirit:addressOffset>0x7</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>sr_reg</ipxact:name>
<ipxact:addressOffset>0x7</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
 
 
<spirit:register>
<spirit:name>debug_0_reg</spirit:name>
<spirit:addressOffset>0x8</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_0_reg</ipxact:name>
<ipxact:addressOffset>0x8</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_1_reg</spirit:name>
<spirit:addressOffset>0xc</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_1_reg</ipxact:name>
<ipxact:addressOffset>0xc</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
 
679,14 → 694,14
 
 
 
</spirit:addressBlock>
</ipxact:addressBlock>
 
 
</spirit:bank>
</ipxact:bank>
 
</spirit:memoryMap></spirit:memoryMaps>
</ipxact:memoryMap></ipxact:memoryMaps>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/rtl/xml/wb_uart16550_bus32_lit.xml
27,438 → 27,450
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_lit</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
<ipxact:busInterface><ipxact:name>wb_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
<spirit:busInterface><spirit:name>wb_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
 
<ipxact:busInterface><ipxact:name>wb_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
<spirit:busInterface><spirit:name>wb_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
 
 
 
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:endianness>little</spirit:endianness>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>7</ipxact:left><ipxact:right>2</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>7</spirit:left><spirit:right>2</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>31</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>31</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>31</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_sel_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>3</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>3</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_ack_o</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
 
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
 
<ipxact:endianness>little</ipxact:endianness>
<ipxact:bitsInLau>8</ipxact:bitsInLau>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
 
</ipxact:busInterface>
 
</spirit:portMaps>
</ipxact:busInterfaces>
 
</spirit:busInterface>
 
</spirit:busInterfaces>
 
 
 
 
<ipxact:componentGenerators>
 
 
<spirit:componentGenerators>
 
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_registers</ipxact:name>
<ipxact:phase>102.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>bus_intf</ipxact:name>
<ipxact:value>wb</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../verilog</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_registers</spirit:name>
<spirit:phase>102.1</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>bus_intf</spirit:name>
<spirit:value>wb</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../verilog</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus32_lit</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus32_lit</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
</ipxact:componentGenerators>
 
 
</spirit:componentGenerators>
<ipxact:fileSets>
 
 
<spirit:fileSets>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
</ipxact:fileSet>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
 
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_lit</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_lit</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus32_lit_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus32_lit_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
</ipxact:fileSet>
 
 
</spirit:fileSet>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_lit</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_lit</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_bus32_lit_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_bus32_lit_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
</ipxact:fileSet>
 
 
</spirit:fileSet>
</ipxact:fileSets>
 
 
</spirit:fileSets>
 
 
 
<ipxact:model>
<ipxact:views>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
<spirit:model>
<spirit:views>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
465,195 → 477,195
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>baud_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>baud_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dcd_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dsr_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dtr_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>int_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>int_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>ri_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ri_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>srx_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>srx_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stx_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stx_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:memoryMaps>
<spirit:memoryMap>
<spirit:name>wb</spirit:name>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:bank>
<spirit:name>wb</spirit:name>
<spirit:baseAddress>0x00</spirit:baseAddress>
<ipxact:memoryMaps>
<ipxact:memoryMap>
<ipxact:name>wb</ipxact:name>
<ipxact:addressUnitBits>8</ipxact:addressUnitBits>
<ipxact:bank>
<ipxact:name>wb</ipxact:name>
<ipxact:baseAddress>0x00</ipxact:baseAddress>
<spirit:addressBlock>
<spirit:name>mb_microbus</spirit:name>
<spirit:range>0x100</spirit:range>
<spirit:width>32</spirit:width>
<ipxact:addressBlock>
<ipxact:name>mb_microbus</ipxact:name>
<ipxact:range>0x100</ipxact:range>
<ipxact:width>32</ipxact:width>
 
 
<spirit:register>
<spirit:name>rb_dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>rb_dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>tr_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>tr_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>ie_dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ie_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
 
<spirit:register>
<spirit:name>ii_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ii_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>fc_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>fc_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>lc_reg</spirit:name>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>lc_reg</ipxact:name>
<ipxact:addressOffset>0x3</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>mc_reg</spirit:name>
<spirit:addressOffset>0x4</spirit:addressOffset>
<spirit:size>5</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>mc_reg</ipxact:name>
<ipxact:addressOffset>0x4</ipxact:addressOffset>
<ipxact:size>5</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ls_reg</spirit:name>
<spirit:addressOffset>0x5</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ls_reg</ipxact:name>
<ipxact:addressOffset>0x5</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ms_reg</spirit:name>
<spirit:addressOffset>0x6</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ms_reg</ipxact:name>
<ipxact:addressOffset>0x6</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>sr_reg</spirit:name>
<spirit:addressOffset>0x7</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>sr_reg</ipxact:name>
<ipxact:addressOffset>0x7</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_0_reg</spirit:name>
<spirit:addressOffset>0x8</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_0_reg</ipxact:name>
<ipxact:addressOffset>0x8</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_1_reg</spirit:name>
<spirit:addressOffset>0xc</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_1_reg</ipxact:name>
<ipxact:addressOffset>0xc</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
 
661,14 → 673,14
 
 
 
</spirit:addressBlock>
</ipxact:addressBlock>
 
 
</spirit:bank>
</ipxact:bank>
 
</spirit:memoryMap></spirit:memoryMaps>
</ipxact:memoryMap></ipxact:memoryMaps>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/rtl/xml/wb_uart16550_def.xml
27,125 → 27,133
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>def</spirit:version> <spirit:configuration>default</spirit:configuration>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>def</ipxact:version>
 
 
 
<spirit:busInterfaces>
<ipxact:busInterfaces>
 
 
<spirit:busInterface><spirit:name>wb_clk</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="clock" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>clk</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_clk_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_clk</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="clock" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="clock" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>clk</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_clk_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
<spirit:busInterface><spirit:name>wb_reset</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="Busdefs" spirit:name="reset" spirit:version="rtl"/>
<spirit:slave/>
<spirit:portMaps>
<spirit:portMap>
<spirit:logicalPort><spirit:name>reset</spirit:name></spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_rst_i</spirit:name></spirit:physicalPort>
</spirit:portMap>
</spirit:portMaps>
</spirit:busInterface>
<ipxact:busInterface><ipxact:name>wb_reset</ipxact:name>
<ipxact:busType vendor="opencores.org" library="Busdefs" name="reset" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="Busdefs" name="reset" version="rtl"/>
<ipxact:portMaps>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>reset</ipxact:name></ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_rst_i</ipxact:name></ipxact:physicalPort>
</ipxact:portMap>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:slave/>
</ipxact:busInterface>
 
 
 
 
<spirit:busInterface><spirit:name>wb</spirit:name>
<spirit:busType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="def"/>
<spirit:abstractionType spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wishbone" spirit:version="rtl"/>
<spirit:endianness>little</spirit:endianness>
<spirit:bitsInLau>8</spirit:bitsInLau>
<spirit:slave><spirit:memoryMapRef spirit:memoryMapRef="wb"/> </spirit:slave>
<spirit:portMaps>
<ipxact:busInterface><ipxact:name>wb</ipxact:name>
<ipxact:busType vendor="opencores.org" library="wishbone" name="wishbone" version="def"/>
<ipxact:abstractionTypes>
<ipxact:abstractionType>
<ipxact:abstractionRef vendor="opencores.org" library="wishbone" name="wishbone" version="rtl"/>
<ipxact:portMaps>
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>adr</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_adr_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>WB_ADDR_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>adr</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_adr_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>WB_ADDR_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>wdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_i</spirit:name>
<spirit:wire><spirit:vector><spirit:left>WB_DATA_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>wdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_i</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>WB_DATA_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>rdata</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_dat_o</spirit:name>
<spirit:wire><spirit:vector><spirit:left>WB_DATA_WIDTH-1</spirit:left><spirit:right>0</spirit:right></spirit:vector></spirit:wire>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>rdata</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_dat_o</ipxact:name>
<ipxact:wire><ipxact:vectors><ipxact:vector><ipxact:left>WB_DATA_WIDTH-1</ipxact:left><ipxact:right>0</ipxact:right></ipxact:vector></ipxact:vectors></ipxact:wire>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>sel</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_sel_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>sel</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_sel_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>ack</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_ack_o</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>ack</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_ack_o</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>cyc</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_cyc_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>cyc</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_cyc_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>stb</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_stb_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>stb</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_stb_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
<spirit:portMap>
<spirit:logicalPort><spirit:name>we</spirit:name>
</spirit:logicalPort>
<spirit:physicalPort><spirit:name>wb_we_i</spirit:name>
</spirit:physicalPort>
</spirit:portMap>
<ipxact:portMap>
<ipxact:logicalPort><ipxact:name>we</ipxact:name>
</ipxact:logicalPort>
<ipxact:physicalPort><ipxact:name>wb_we_i</ipxact:name>
</ipxact:physicalPort>
</ipxact:portMap>
 
 
 
153,315 → 161,322
 
 
 
</spirit:portMaps>
</ipxact:portMaps>
</ipxact:abstractionType>
</ipxact:abstractionTypes>
<ipxact:endianness>little</ipxact:endianness>
<ipxact:bitsInLau>8</ipxact:bitsInLau>
<ipxact:slave><ipxact:memoryMapRef ipxact:memoryMapRef="wb"/> </ipxact:slave>
 
</spirit:busInterface>
 
</spirit:busInterfaces>
</ipxact:busInterface>
 
</ipxact:busInterfaces>
 
 
 
 
 
<spirit:componentGenerators>
 
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_registers</spirit:name>
<spirit:phase>102.1</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/regtool/gen_registers</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>bus_intf</spirit:name>
<spirit:value>wb</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../verilog</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_registers</ipxact:name>
<ipxact:phase>102.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/regtool/gen_registers</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>bus_intf</ipxact:name>
<ipxact:value>wb</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>dest_dir</ipxact:name>
<ipxact:value>../verilog</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_def</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_def</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
 
</ipxact:componentGenerators>
 
<spirit:fileSets>
 
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_def_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_def_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
 
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/copyright.v</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_def</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/copyright.v</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/defines</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>include</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_def</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb</spirit:logicalName>
<spirit:name>../verilog/wb_uart16550_def_wb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/defines</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>include</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>raminfr</spirit:logicalName>
<spirit:name>../verilog/raminfr</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>wb</ipxact:logicalName>
<ipxact:name>../verilog/wb_uart16550_def_wb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>receiver</spirit:logicalName>
<spirit:name>../verilog/receiver</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>raminfr</ipxact:logicalName>
<ipxact:name>../verilog/raminfr</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>regs</spirit:logicalName>
<spirit:name>../verilog/regs</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>receiver</ipxact:logicalName>
<ipxact:name>../verilog/receiver</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>rfifo</spirit:logicalName>
<spirit:name>../verilog/rfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>regs</ipxact:logicalName>
<ipxact:name>../verilog/regs</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>sync_flops</spirit:logicalName>
<spirit:name>../verilog/sync_flops</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>rfifo</ipxact:logicalName>
<ipxact:name>../verilog/rfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>tfifo</spirit:logicalName>
<spirit:name>../verilog/tfifo</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>sync_flops</ipxact:logicalName>
<ipxact:name>../verilog/sync_flops</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>transmitter</spirit:logicalName>
<spirit:name>../verilog/transmitter</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>tfifo</ipxact:logicalName>
<ipxact:name>../verilog/tfifo</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>wb_fsm</spirit:logicalName>
<spirit:name>../verilog/wb_fsm</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>transmitter</ipxact:logicalName>
<ipxact:name>../verilog/transmitter</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
<ipxact:file>
<ipxact:logicalName>wb_fsm</ipxact:logicalName>
<ipxact:name>../verilog/wb_fsm</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
 
</ipxact:fileSet>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/top.body</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/top.body</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
 
</spirit:fileSets>
 
</ipxact:fileSets>
 
 
 
 
<spirit:model>
<spirit:views>
 
<ipxact:model>
<ipxact:views>
 
<spirit:view>
<spirit:name>verilog</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="verilog"/>
</spirit:vendorExtensions>
</spirit:view>
 
<ipxact:view>
<ipxact:name>verilog</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="verilog"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
 
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name><spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>syn</ipxact:name><ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-syn</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-syn</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="documentation"/>
</spirit:vendorExtensions>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
</spirit:view>
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="documentation"/>
</ipxact:vendorExtensions>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
</ipxact:view>
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
468,273 → 483,273
 
 
 
<spirit:ports>
<ipxact:ports>
 
<spirit:port><spirit:name>baud_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>baud_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>cts_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>cts_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dcd_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dsr_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>dtr_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>int_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>int_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>ri_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>ri_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>rts_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>rts_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>srx_pad_i</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>srx_pad_i</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>stx_pad_o</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>stx_pad_o</ipxact:name>
<ipxact:wire><ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</spirit:ports>
</ipxact:ports>
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:memoryMaps><spirit:memoryMap>
<spirit:addressUnitBits>8</spirit:addressUnitBits>
<spirit:name>wb</spirit:name>
<spirit:bank>
<spirit:name>wb</spirit:name>
<spirit:baseAddress>0x00</spirit:baseAddress>
<ipxact:memoryMaps><ipxact:memoryMap>
<ipxact:addressUnitBits>8</ipxact:addressUnitBits>
<ipxact:name>wb</ipxact:name>
<ipxact:bank>
<ipxact:name>wb</ipxact:name>
<ipxact:baseAddress>0x00</ipxact:baseAddress>
 
 
 
<spirit:addressBlock>
<spirit:name>mb_microbus</spirit:name>
<spirit:range>0x100</spirit:range>
<spirit:width>8</spirit:width>
<ipxact:addressBlock>
<ipxact:name>mb_microbus</ipxact:name>
<ipxact:range>0x100</ipxact:range>
<ipxact:width>8</ipxact:width>
 
 
<spirit:register>
<spirit:name>rb_dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>rb_dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>tr_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>tr_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>ie_dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ie_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ie_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
 
<spirit:register>
<spirit:name>dll_reg</spirit:name>
<spirit:addressOffset>0x0</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dll_reg</ipxact:name>
<ipxact:addressOffset>0x0</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>dlh_reg</spirit:name>
<spirit:addressOffset>0x1</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-strobe</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>dlh_reg</ipxact:name>
<ipxact:addressOffset>0x1</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-strobe</ipxact:access>
</ipxact:register>
 
 
 
 
<spirit:register>
<spirit:name>ii_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>4</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ii_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>4</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>fc_reg</spirit:name>
<spirit:addressOffset>0x2</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>write-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>fc_reg</ipxact:name>
<ipxact:addressOffset>0x2</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>write-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>lc_reg</spirit:name>
<spirit:addressOffset>0x3</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
<spirit:field>
<spirit:name>bits</spirit:name>
<spirit:description>Bits in character</spirit:description>
<spirit:bitOffset>0</spirit:bitOffset>
<spirit:bitWidth>2</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>sb</spirit:name>
<spirit:description>Stop bits</spirit:description>
<spirit:bitOffset>2</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>pe</spirit:name>
<spirit:description>Parity enable</spirit:description>
<spirit:bitOffset>3</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>ep</spirit:name>
<spirit:description>Even parity</spirit:description>
<spirit:bitOffset>4</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>sp</spirit:name>
<spirit:description>Stick parity</spirit:description>
<spirit:bitOffset>5</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>bc</spirit:name>
<spirit:description>Break control</spirit:description>
<spirit:bitOffset>6</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>dlab</spirit:name>
<spirit:description>Divsior latch access bit</spirit:description>
<spirit:bitOffset>7</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<ipxact:register>
<ipxact:name>lc_reg</ipxact:name>
<ipxact:addressOffset>0x3</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
<ipxact:field>
<ipxact:name>bits</ipxact:name>
<ipxact:description>Bits in character</ipxact:description>
<ipxact:bitOffset>0</ipxact:bitOffset>
<ipxact:bitWidth>2</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>sb</ipxact:name>
<ipxact:description>Stop bits</ipxact:description>
<ipxact:bitOffset>2</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>pe</ipxact:name>
<ipxact:description>Parity enable</ipxact:description>
<ipxact:bitOffset>3</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>ep</ipxact:name>
<ipxact:description>Even parity</ipxact:description>
<ipxact:bitOffset>4</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>sp</ipxact:name>
<ipxact:description>Stick parity</ipxact:description>
<ipxact:bitOffset>5</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>bc</ipxact:name>
<ipxact:description>Break control</ipxact:description>
<ipxact:bitOffset>6</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>dlab</ipxact:name>
<ipxact:description>Divsior latch access bit</ipxact:description>
<ipxact:bitOffset>7</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
 
</spirit:register>
</ipxact:register>
 
<spirit:register>
<spirit:name>mc_reg</spirit:name>
<spirit:addressOffset>0x4</spirit:addressOffset>
<spirit:size>5</spirit:size>
<spirit:access>read-write</spirit:access>
<spirit:field>
<spirit:name>dtr</spirit:name>
<spirit:description>Data transmit ready</spirit:description>
<spirit:bitOffset>0</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>rts</spirit:name>
<spirit:description>Ready to Send</spirit:description>
<spirit:bitOffset>1</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>out</spirit:name>
<spirit:description>Output control</spirit:description>
<spirit:bitOffset>2</spirit:bitOffset>
<spirit:bitWidth>2</spirit:bitWidth>
</spirit:field>
<spirit:field>
<spirit:name>loopback</spirit:name>
<spirit:description>loopback control</spirit:description>
<spirit:bitOffset>4</spirit:bitOffset>
<spirit:bitWidth>1</spirit:bitWidth>
</spirit:field>
</spirit:register>
<ipxact:register>
<ipxact:name>mc_reg</ipxact:name>
<ipxact:addressOffset>0x4</ipxact:addressOffset>
<ipxact:size>5</ipxact:size>
<ipxact:access>read-write</ipxact:access>
<ipxact:field>
<ipxact:name>dtr</ipxact:name>
<ipxact:description>Data transmit ready</ipxact:description>
<ipxact:bitOffset>0</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>rts</ipxact:name>
<ipxact:description>Ready to Send</ipxact:description>
<ipxact:bitOffset>1</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>out</ipxact:name>
<ipxact:description>Output control</ipxact:description>
<ipxact:bitOffset>2</ipxact:bitOffset>
<ipxact:bitWidth>2</ipxact:bitWidth>
</ipxact:field>
<ipxact:field>
<ipxact:name>loopback</ipxact:name>
<ipxact:description>loopback control</ipxact:description>
<ipxact:bitOffset>4</ipxact:bitOffset>
<ipxact:bitWidth>1</ipxact:bitWidth>
</ipxact:field>
</ipxact:register>
 
<spirit:register>
<spirit:name>ls_reg</spirit:name>
<spirit:addressOffset>0x5</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ls_reg</ipxact:name>
<ipxact:addressOffset>0x5</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>ms_reg</spirit:name>
<spirit:addressOffset>0x6</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>ms_reg</ipxact:name>
<ipxact:addressOffset>0x6</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
<spirit:register>
<spirit:name>sr_reg</spirit:name>
<spirit:addressOffset>0x7</spirit:addressOffset>
<spirit:size>8</spirit:size>
<spirit:access>read-write</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>sr_reg</ipxact:name>
<ipxact:addressOffset>0x7</ipxact:addressOffset>
<ipxact:size>8</ipxact:size>
<ipxact:access>read-write</ipxact:access>
</ipxact:register>
 
 
 
<spirit:register>
<spirit:name>debug_0_reg</spirit:name>
<spirit:addressOffset>0x8</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_0_reg</ipxact:name>
<ipxact:addressOffset>0x8</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
<spirit:register>
<spirit:name>debug_1_reg</spirit:name>
<spirit:addressOffset>0xc</spirit:addressOffset>
<spirit:size>32</spirit:size>
<spirit:access>read-only</spirit:access>
</spirit:register>
<ipxact:register>
<ipxact:name>debug_1_reg</ipxact:name>
<ipxact:addressOffset>0xc</ipxact:addressOffset>
<ipxact:size>32</ipxact:size>
<ipxact:access>read-only</ipxact:access>
</ipxact:register>
 
 
 
 
 
</spirit:addressBlock>
</ipxact:addressBlock>
 
 
 
743,12 → 758,12
 
 
 
</spirit:bank>
</ipxact:bank>
 
</spirit:memoryMap></spirit:memoryMaps>
</ipxact:memoryMap></ipxact:memoryMaps>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bfm.design.xml
27,135 → 27,135
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bfm.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bfm.design</ipxact:version>
 
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="clk"/>
<ipxact:internalPortReference componentRef="uart_model" portRef="clk"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="reset"/>
<ipxact:internalPortReference componentRef="uart_model" portRef="reset"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i"/>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="rxd_out"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i"/>
<ipxact:internalPortReference componentRef="uart_model" portRef="rxd_out"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o"/>
<spirit:internalPortReference spirit:componentRef="uart_model" spirit:portRef="txd_in"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o"/>
<ipxact:internalPortReference componentRef="uart_model" portRef="txd_in"/>
</ipxact:adHocConnection>
 
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="WB_ADDR_WIDTH-1" spirit:right="0"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="adr"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="WB_ADDR_WIDTH-1" right="0"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="adr"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="WB_DATA_WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="din"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="WB_DATA_WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="i_wb_master" portRef="din"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="WB_DATA_WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="dout"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="WB_DATA_WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="i_wb_master" portRef="dout"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="ack"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="ack"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="sel"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="sel"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="stb"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="stb"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="cyc"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="cyc"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="we"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="we"/>
</ipxact:adHocConnection>
 
 
 
<spirit:adHocConnection spirit:tiedValue="1'b0" >
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="err"/>
<spirit:internalPortReference spirit:componentRef="i_wb_master" spirit:portRef="rty"/>
</spirit:adHocConnection>
<ipxact:adHocConnection tiedValue="1'b0" >
<ipxact:internalPortReference componentRef="i_wb_master" portRef="err"/>
<ipxact:internalPortReference componentRef="i_wb_master" portRef="rty"/>
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
</ipxact:adHocConnections>
 
 
 
<spirit:componentInstances>
<ipxact:componentInstances>
 
 
 
 
<spirit:componentInstance>
<spirit:instanceName>i_wb_master</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="model" spirit:version="master" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="dwidth">WB_DATA_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="awidth">WB_ADDR_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>i_wb_master</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="wishbone" name="model" version="master" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="dwidth">WB_DATA_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="awidth">WB_ADDR_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>uart_model</spirit:instanceName> <spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="uart_model" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="CLKCNT">UART_MODEL_CLKCNT</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
<ipxact:componentInstance>
<ipxact:instanceName>uart_model</ipxact:instanceName> <ipxact:componentRef vendor="opencores.org" library="Testbench" name="uart_model" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="CLKCNT">UART_MODEL_CLKCNT</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
 
 
</spirit:componentInstances>
</ipxact:componentInstances>
 
</spirit:design>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_big_dut.params.xml
6,28 → 6,28
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_big_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_big_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_big_duth.design"/>
</spirit:view>
</spirit:views>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_big_duth.design"/>
</ipxact:view>
</ipxact:views>
</ipxact:model>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_big_duth.design.xml
6,151 → 6,151
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_uart16550 -version bus16_big //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_big_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_big_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>baud_o</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="baud_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_o</ipxact:name>
<ipxact:externalPortReference portRef="baud_o" />
<ipxact:internalPortReference componentRef="dut" portRef="baud_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dcd_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dcd_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dcd_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dcd_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dcd_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dsr_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dsr_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dsr_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dsr_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dsr_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dtr_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dtr_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dtr_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="dtr_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="dtr_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>int_o</spirit:name>
<spirit:externalPortReference spirit:portRef="int_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="int_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>int_o</ipxact:name>
<ipxact:externalPortReference portRef="int_o" />
<ipxact:internalPortReference componentRef="dut" portRef="int_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ri_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="ri_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ri_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ri_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="ri_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="ri_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="srx_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="srx_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stx_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="stx_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="7" spirit:right="1" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="7" right="1" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_clk_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="15" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="15" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="15" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="15" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_rst_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i" spirit:left="1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i" left="1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_uart16550" spirit:version="bus16_big" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALER_PRESET">PRESCALER_PRESET</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_BYTE_LANES">WB_BYTE_LANES</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_uart16550" version="bus16_big" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="PRESCALER_PRESET">PRESCALER_PRESET</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_BYTE_LANES">WB_BYTE_LANES</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_big_lint.xml
27,58 → 27,58
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_big_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_big_lint</ipxact:version>
 
 
 
<spirit:model>
<ipxact:model>
 
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_big_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_big_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
85,12 → 85,12
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
98,24 → 98,24
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_uart16550_bus16_big_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_uart16550_bus16_big_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_big_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_big_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_big_tb</ipxact:version>
 
 
 
45,138 → 45,138
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus16_big_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus16_big_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'b1100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'b1100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_big_dut.params"/>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_big_dut.params"/>
 
 
 
 
</spirit:vendorExtensions>
</spirit:view>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>headers</spirit:name><spirit:envIdentifier>headers</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>headers</ipxact:name><ipxact:envIdentifier>headers</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
</spirit:model>
</ipxact:model>
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
185,16 → 185,16
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_big_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_big_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
201,19 → 201,19
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_big_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_big_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
220,7 → 220,7
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
227,8 → 227,8
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_lit_dut.params.xml
6,28 → 6,28
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_lit_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_lit_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_lit_duth.design"/>
</spirit:view>
</spirit:views>
</spirit:model>
</spirit:component>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_lit_duth.design"/>
</ipxact:view>
</ipxact:views>
</ipxact:model>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_lit_duth.design.xml
6,151 → 6,151
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_uart16550 -version bus16_lit //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_lit_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_lit_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>baud_o</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="baud_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_o</ipxact:name>
<ipxact:externalPortReference portRef="baud_o" />
<ipxact:internalPortReference componentRef="dut" portRef="baud_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dcd_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dcd_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dcd_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dcd_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dcd_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dsr_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dsr_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dsr_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dsr_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dsr_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dtr_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dtr_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dtr_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="dtr_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="dtr_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>int_o</spirit:name>
<spirit:externalPortReference spirit:portRef="int_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="int_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>int_o</ipxact:name>
<ipxact:externalPortReference portRef="int_o" />
<ipxact:internalPortReference componentRef="dut" portRef="int_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ri_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="ri_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ri_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ri_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="ri_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="ri_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="srx_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="srx_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stx_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="stx_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="7" spirit:right="1" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="7" right="1" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_clk_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="15" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="15" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="15" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="15" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_rst_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i" spirit:left="1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i" left="1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_uart16550" spirit:version="bus16_lit" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALER_PRESET">PRESCALER_PRESET</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_BYTE_LANES">WB_BYTE_LANES</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_uart16550" version="bus16_lit" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="PRESCALER_PRESET">PRESCALER_PRESET</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_BYTE_LANES">WB_BYTE_LANES</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_lit_lint.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_lit_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_lit_lint</ipxact:version>
 
 
 
45,41 → 45,41
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_lit_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_lit_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
86,13 → 86,13
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
100,27 → 100,27
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_uart16550_bus16_lit_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_uart16550_bus16_lit_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus16_lit_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus16_lit_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus16_lit_tb</ipxact:version>
 
 
 
45,109 → 45,109
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus16_lit_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus16_lit_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'b1100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'b1100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
<spirit:views>
<ipxact:views>
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus16_lit_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus16_lit_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>headers</spirit:name><spirit:envIdentifier>headers</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
</spirit:view>
<ipxact:view>
<ipxact:name>headers</ipxact:name><ipxact:envIdentifier>headers</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
</ipxact:view>
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
 
</spirit:view>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
155,39 → 155,39
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
 
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_lit_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_lit_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
194,30 → 194,30
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus16_lit_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus16_lit_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_big_dut.params.xml
6,29 → 6,29
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_big_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_big_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_big_duth.design"/>
</spirit:view>
</spirit:views>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_big_duth.design"/>
</ipxact:view>
</ipxact:views>
 
</spirit:model>
</spirit:component>
</ipxact:model>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_big_duth.design.xml
6,151 → 6,151
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_uart16550 -version bus32_big //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_big_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_big_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>baud_o</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="baud_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_o</ipxact:name>
<ipxact:externalPortReference portRef="baud_o" />
<ipxact:internalPortReference componentRef="dut" portRef="baud_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dcd_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dcd_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dcd_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dcd_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dcd_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dsr_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dsr_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dsr_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dsr_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dsr_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dtr_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dtr_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dtr_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="dtr_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="dtr_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>int_o</spirit:name>
<spirit:externalPortReference spirit:portRef="int_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="int_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>int_o</ipxact:name>
<ipxact:externalPortReference portRef="int_o" />
<ipxact:internalPortReference componentRef="dut" portRef="int_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ri_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="ri_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ri_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ri_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="ri_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="ri_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="srx_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="srx_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stx_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="stx_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="7" spirit:right="2" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="7" right="2" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_clk_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="31" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="31" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="31" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="31" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_rst_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i" spirit:left="3" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i" left="3" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_uart16550" spirit:version="bus32_big" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALER_PRESET">PRESCALER_PRESET</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_BYTE_LANES">WB_BYTE_LANES</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_uart16550" version="bus32_big" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="PRESCALER_PRESET">PRESCALER_PRESET</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_BYTE_LANES">WB_BYTE_LANES</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_big_lint.xml
27,90 → 27,90
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_big_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_big_lint</ipxact:version>
 
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_big_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_big_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_uart16550_bus32_big_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_uart16550_bus32_big_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_big_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_big_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_big_tb</ipxact:version>
 
 
 
45,175 → 45,175
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus32_big_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus32_big_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'b1100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'b1100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_big_dut.params"/>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_big_dut.params"/>
 
 
 
 
</spirit:vendorExtensions>
</spirit:view>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>headers</spirit:name><spirit:envIdentifier>headers</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>headers</ipxact:name><ipxact:envIdentifier>headers</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
</ipxact:view>
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_big_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_big_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_big_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_big_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_lit_dut.params.xml
6,29 → 6,29
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_lit_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_lit_duth.design"/>
</spirit:view>
</spirit:views>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_lit_duth.design"/>
</ipxact:view>
</ipxact:views>
 
</spirit:model>
</spirit:component>
</ipxact:model>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_lit_duth.design.xml
6,151 → 6,151
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_uart16550 -version bus32_lit //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_lit_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>baud_o</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="baud_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_o</ipxact:name>
<ipxact:externalPortReference portRef="baud_o" />
<ipxact:internalPortReference componentRef="dut" portRef="baud_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dcd_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dcd_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dcd_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dcd_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dcd_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dsr_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dsr_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dsr_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dsr_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dsr_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dtr_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dtr_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dtr_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="dtr_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="dtr_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>int_o</spirit:name>
<spirit:externalPortReference spirit:portRef="int_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="int_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>int_o</ipxact:name>
<ipxact:externalPortReference portRef="int_o" />
<ipxact:internalPortReference componentRef="dut" portRef="int_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ri_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="ri_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ri_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ri_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="ri_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="ri_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="srx_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="srx_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stx_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="stx_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="7" spirit:right="2" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="7" right="2" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_clk_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="31" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="31" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="31" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="31" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_rst_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i" spirit:left="3" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i" left="3" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_uart16550" spirit:version="bus32_lit" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALER_PRESET">PRESCALER_PRESET</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_BYTE_LANES">WB_BYTE_LANES</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_uart16550" version="bus32_lit" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="PRESCALER_PRESET">PRESCALER_PRESET</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_BYTE_LANES">WB_BYTE_LANES</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_lit_lint.xml
27,91 → 27,91
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_lit_lint</ipxact:version>
 
 
 
<spirit:model>
<ipxact:model>
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_lit_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_lit_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_uart16550_bus32_lit_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_uart16550_bus32_lit_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_bus32_lit_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>bus32_lit_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>bus32_lit_tb</ipxact:version>
 
 
 
45,7 → 45,7
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
53,110 → 53,110
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_bus32_lit_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_bus32_lit_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:model>
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'b1100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'b1100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bus32_lit_dut.params"/>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bus32_lit_dut.params"/>
 
 
 
 
</spirit:vendorExtensions>
</spirit:view>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>headers</spirit:name><spirit:envIdentifier>headers</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
</spirit:view>
<ipxact:view>
<ipxact:name>headers</ipxact:name><ipxact:envIdentifier>headers</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
</ipxact:view>
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
163,24 → 163,24
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
187,31 → 187,31
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_lit_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_lit_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_bus32_lit_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_bus32_lit_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
218,9 → 218,9
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_def_dut.params.xml
6,29 → 6,29
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>def_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>def_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="def_duth.design"/>
</spirit:view>
</spirit:views>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="def_duth.design"/>
</ipxact:view>
</ipxact:views>
 
</spirit:model>
</spirit:component>
</ipxact:model>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_def_duth.design.xml
6,150 → 6,150
// ./tools/verilog/gen_tb -vendor opencores.org -library wishbone -component wb_uart16550 -version def //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>def_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>def_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>baud_o</spirit:name>
<spirit:externalPortReference spirit:portRef="baud_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="baud_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>baud_o</ipxact:name>
<ipxact:externalPortReference portRef="baud_o" />
<ipxact:internalPortReference componentRef="dut" portRef="baud_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>cts_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="cts_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="cts_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>cts_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="cts_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="cts_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dcd_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dcd_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dcd_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dcd_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dcd_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dcd_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dsr_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="dsr_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dsr_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dsr_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="dsr_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="dsr_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dtr_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="dtr_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dtr_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dtr_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="dtr_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="dtr_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>int_o</spirit:name>
<spirit:externalPortReference spirit:portRef="int_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="int_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>int_o</ipxact:name>
<ipxact:externalPortReference portRef="int_o" />
<ipxact:internalPortReference componentRef="dut" portRef="int_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>ri_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="ri_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="ri_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>ri_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="ri_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="ri_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>rts_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="rts_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="rts_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>rts_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="rts_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="rts_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>srx_pad_i</spirit:name>
<spirit:externalPortReference spirit:portRef="srx_pad_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="srx_pad_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>srx_pad_i</ipxact:name>
<ipxact:externalPortReference portRef="srx_pad_i" />
<ipxact:internalPortReference componentRef="dut" portRef="srx_pad_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>stx_pad_o</spirit:name>
<spirit:externalPortReference spirit:portRef="stx_pad_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="stx_pad_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>stx_pad_o</ipxact:name>
<ipxact:externalPortReference portRef="stx_pad_o" />
<ipxact:internalPortReference componentRef="dut" portRef="stx_pad_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_ack_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_ack_o" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_ack_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_ack_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_ack_o" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_ack_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_adr_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_adr_i" spirit:left="WB_ADDR_WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_adr_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_adr_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_adr_i" left="WB_ADDR_WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_adr_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_clk_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_clk_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_clk_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_clk_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_clk_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_clk_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_cyc_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_cyc_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_cyc_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_cyc_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_cyc_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_cyc_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_i" spirit:left="WB_DATA_WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_i" left="WB_DATA_WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_dat_o</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_dat_o" spirit:left="WB_DATA_WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_dat_o" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_dat_o</ipxact:name>
<ipxact:externalPortReference portRef="wb_dat_o" left="WB_DATA_WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_dat_o" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_rst_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_rst_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_rst_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_rst_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_rst_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_rst_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_sel_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_sel_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_sel_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_sel_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_sel_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_sel_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_stb_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_stb_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_stb_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_stb_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_stb_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_stb_i" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>wb_we_i</spirit:name>
<spirit:externalPortReference spirit:portRef="wb_we_i" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="wb_we_i" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>wb_we_i</ipxact:name>
<ipxact:externalPortReference portRef="wb_we_i" />
<ipxact:internalPortReference componentRef="dut" portRef="wb_we_i" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="wishbone" spirit:name="wb_uart16550" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="PRESCALER_PRESET">PRESCALER_PRESET</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="wishbone" name="wb_uart16550" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="PRESCALER_PRESET">PRESCALER_PRESET</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_ADDR_WIDTH">WB_ADDR_WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WB_DATA_WIDTH">WB_DATA_WIDTH</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_def_lint.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>def_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>def_lint</ipxact:version>
 
 
 
44,75 → 44,75
 
 
 
<spirit:model>
<ipxact:model>
 
 
 
<spirit:views>
<ipxact:views>
 
 
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/wb_uart16550_def_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/wb_uart16550_def_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
</spirit:component>
</ipxact:component>
/wb_uart16550/sim/testbenches/xml/wb_uart16550_def_tb.xml
27,17 → 27,17
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>wishbone</spirit:library>
<spirit:name>wb_uart16550</spirit:name>
<spirit:version>def_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>wishbone</ipxact:library>
<ipxact:name>wb_uart16550</ipxact:name>
<ipxact:version>def_tb</ipxact:version>
 
 
 
45,21 → 45,21
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>wb_uart16550_def_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>wb_uart16550_def_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
66,96 → 66,96
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>UART_MODEL_CLKCNT</spirit:name><spirit:value>4'b1100</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>UART_MODEL_SIZE</spirit:name><spirit:value>4</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>UART_MODEL_CLKCNT</ipxact:name><ipxact:value>4'b1100</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>UART_MODEL_SIZE</ipxact:name><ipxact:value>4</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
 
 
 
<spirit:views>
<ipxact:views>
 
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="wishbone"
spirit:name="wb_uart16550"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="wishbone"
ipxact:name="wb_uart16550"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>headers</spirit:name><spirit:envIdentifier>headers</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
</spirit:view>
<ipxact:view>
<ipxact:name>headers</ipxact:name><ipxact:envIdentifier>headers</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
 
</spirit:view>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
162,60 → 162,60
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/tb.ext</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>fragment</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb.ext</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/wb_uart16550_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/wb_uart16550_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
</spirit:component>
</ipxact:component>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.