OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /socgen/trunk/common/opencores.org/Testbench/toolflows
    from Rev 134 to Rev 135
    Reverse comparison

Rev 134 → Rev 135

/toolflow/xml/ara.xml
0,0 → 1,145
<?xml version="1.0" encoding="utf-8"?>
<!--
// //
// Author : John Eaton Ouabache Designworks //
// //
// Copyright (C) 2010 Authors and OPENCORES.ORG //
// //
// This source file may be used and distributed without //
// restriction provided that this copyright statement is not //
// removed from the file and that any derivative work contains //
// the original copyright notice and the associated disclaimer. //
// //
// This source file is free software; you can redistribute it //
// and/or modify it under the terms of the GNU Lesser General //
// Public License as published by the Free Software Foundation; //
// either version 2.1 of the License, or (at your option) any //
// later version. //
// //
// This source is distributed in the hope that it will be //
// useful, but WITHOUT ANY WARRANTY; without even the implied //
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //
// PURPOSE. See the GNU Lesser General Public License for more //
// details. //
// //
// You should have received a copy of the GNU Lesser General //
// Public License along with this source; if not, download it //
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>ara</ipxact:version>
 
<ipxact:componentGenerators>
 
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_ara_filelist</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>suffix</ipxact:name>
<ipxact:value>ARA</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>leader</ipxact:name>
<ipxact:value>"read_verilog "</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_src_ven</ipxact:name>
<ipxact:value>opencores.org</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_src_lib</ipxact:name>
<ipxact:value>cde</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_des_ven</ipxact:name>
<ipxact:value>lattice.com</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_des_lib</ipxact:name>
<ipxact:value>iceskate</ipxact:value>
</ipxact:parameter>
 
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</ipxact:componentGenerators>
 
<ipxact:fileSets>
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
</ipxact:fileSets>
 
 
<ipxact:model>
 
<ipxact:views>
<ipxact:view>
<ipxact:name>syn</ipxact:name>
<ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-syn</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
</ipxact:views>
 
</ipxact:model>
 
</ipxact:component>
 
 
 
 
 
/toolflow/xml/documentation.xml
27,118 → 27,67
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>documentation</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>documentation</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog_doc</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Documentation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_auxiliary</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>html</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>interface_only</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>dest_dir</spirit:name>
<spirit:value>../interfaces</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_geda</ipxact:name>
<ipxact:phase>110.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Documentation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/geda/gen_geda</ipxact:generatorExe>
</ipxact:componentGenerator>
 
</ipxact:componentGenerators>
 
<ipxact:model>
 
</spirit:componentGenerators>
<ipxact:views>
 
<ipxact:view>
<ipxact:name>doc</ipxact:name>
<ipxact:envIdentifier>:*Documentation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-doc</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
</ipxact:views>
 
<spirit:fileSets>
</ipxact:model>
 
<ipxact:fileSets>
 
<ipxact:fileSet>
 
<ipxact:name>fs-doc</ipxact:name>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName><ipxact:name>../interfaces/doc/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
</ipxact:fileSets>
 
</ipxact:component>
 
 
<spirit:fileSet>
 
<spirit:name>fs-doc</spirit:name>
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName><spirit:name>../interfaces/doc/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
 
 
 
 
 
</spirit:fileSets>
 
 
 
 
 
<spirit:model>
 
 
 
 
<spirit:views>
 
 
 
 
 
<spirit:view>
<spirit:name>doc</spirit:name>
<spirit:envIdentifier>:*Documentation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-doc</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
 
 
 
 
 
 
</spirit:views>
 
 
 
</spirit:model>
 
</spirit:component>
 
 
 
 
 
/toolflow/xml/icarus.xml
27,256 → 27,264
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>icarus</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>icarus</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_elab_filelists</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_elab_filelists</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier>
<socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_elab_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>./TestBench</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_elab_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>./TestBench</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_filelists</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_filelists</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier>
<socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>./TestBench</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>./TestBench</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_cov_filelist</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_cov_filelist</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Lint:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>"-v ./TestBench"</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>suffix</spirit:name>
<spirit:value>COV</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>leader</spirit:name>
<spirit:value>"-v "</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>"-v ./TestBench"</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>suffix</ipxact:name>
<ipxact:value>COV</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>leader</ipxact:name>
<ipxact:value>"-v "</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_sim</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>sim</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_syn</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>syn</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_lint</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>lint</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_sim</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>sim</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</spirit:componentGenerators>
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:fileSets>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_lint</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>lint</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</ipxact:componentGenerators>
 
 
 
<ipxact:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
 
 
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
</ipxact:fileSet>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
 
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/lint/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
</ipxact:fileSet>
 
</spirit:fileSet>
 
 
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/lint/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
 
 
 
 
</ipxact:fileSets>
 
 
 
 
 
<spirit:model>
<ipxact:model>
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PERIOD</spirit:name><spirit:value>40</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TIMEOUT</spirit:name><spirit:value>100000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PERIOD</ipxact:name><ipxact:value>40</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TIMEOUT</ipxact:name><ipxact:value>100000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
 
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Bfm</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="Testbench" name="clock_gen" version="bfm.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
<spirit:views>
 
<ipxact:views>
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="clock_gen"
spirit:version="bfm.design"/>
</spirit:view>
 
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:designInstantiationRef>Bfm</ipxact:designInstantiationRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>sim</spirit:name>
<spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-sim</spirit:localName></spirit:fileSetRef>
</spirit:view>
 
<ipxact:view>
<ipxact:name>sim</ipxact:name>
<ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-sim</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>syn</spirit:name>
<spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-syn</spirit:localName></spirit:fileSetRef>
</spirit:view>
 
<ipxact:view>
<ipxact:name>syn</ipxact:name>
<ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-syn</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
 
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
</spirit:views>
 
</ipxact:views>
 
 
 
289,40 → 297,41
 
 
 
<spirit:ports>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:ports>
 
<spirit:port><spirit:name>START</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<ipxact:port><ipxact:name>START</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>FAIL</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>FAIL</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<spirit:port><spirit:name>FINISH</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
<ipxact:port><ipxact:name>FINISH</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
 
</spirit:model>
</ipxact:ports>
 
</spirit:component>
</ipxact:model>
 
</ipxact:component>
 
 
 
 
 
/toolflow/xml/ise.xml
27,71 → 27,123
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>ise</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>ise</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_syn</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>syn</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
 
</spirit:componentGenerators>
 
<spirit:fileSets>
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:componentGenerator>
<ipxact:name>gen_ise_filelist</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>suffix</ipxact:name>
<ipxact:value>ISE</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>leader</ipxact:name>
<ipxact:value>"verilog work "</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_src_ven</ipxact:name>
<ipxact:value>opencores.org</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_src_lib</ipxact:name>
<ipxact:value>cde</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_des_ven</ipxact:name>
<ipxact:value>digilentinc.com</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>overlay_des_lib</ipxact:name>
<ipxact:value>Nexys2</ipxact:value>
</ipxact:parameter>
 
</spirit:fileSet>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</spirit:fileSets>
 
 
<spirit:model>
 
<spirit:views>
<spirit:view>
<spirit:name>syn</spirit:name>
<spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-syn</spirit:localName></spirit:fileSetRef>
</spirit:view>
</spirit:views>
 
</spirit:model>
 
</spirit:component>
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</ipxact:componentGenerators>
 
<ipxact:fileSets>
 
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</ipxact:fileSet>
 
</ipxact:fileSets>
 
 
<ipxact:model>
 
<ipxact:views>
<ipxact:view>
<ipxact:name>syn</ipxact:name>
<ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-syn</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
</ipxact:views>
 
</ipxact:model>
 
</ipxact:component>
 
 
 
 
 
/toolflow/xml/rtl_check.xml
27,154 → 27,177
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>rtl_check</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>rtl_check</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_filelists</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_filelists</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Lint:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>./TestBench</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>./TestBench</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_lint</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>lint</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_lint</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>lint</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
<ipxact:componentGenerator>
<ipxact:name>gen_yosys_filelist</ipxact:name>
<ipxact:phase>104.1</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Lint:*</socgen:envIdentifier>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>suffix</ipxact:name>
<ipxact:value>yosys</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>leader</ipxact:name>
<ipxact:value>"read_verilog "</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
</spirit:componentGenerators>
 
 
<spirit:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
</ipxact:componentGenerators>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/lint/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
 
</spirit:fileSet>
<ipxact:fileSets>
 
 
</spirit:fileSets>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:model>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/lint/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
<spirit:views>
</ipxact:fileSet>
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
 
</spirit:views>
</ipxact:fileSets>
 
<ipxact:model>
 
<spirit:ports>
<ipxact:views>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
<spirit:port><spirit:name>reset</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
</ipxact:views>
 
 
<spirit:port><spirit:name>STOP</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:ports>
 
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
<spirit:port><spirit:name>BAD</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>reset</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<ipxact:port><ipxact:name>STOP</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
</spirit:ports>
<ipxact:port><ipxact:name>BAD</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
 
 
</ipxact:ports>
 
</spirit:model>
 
 
 
 
</ipxact:model>
 
 
 
 
 
 
 
 
 
</spirit:component>
</ipxact:component>
 
 
 
/toolflow/xml/verilator.xml
27,67 → 27,67
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>verilator</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>verilator</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_filelists</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_filelists</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>"./TestBench"</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>"./TestBench"</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
<spirit:componentGenerator>
<spirit:name>gen_cov_filelist</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions>
<ipxact:componentGenerator>
<ipxact:name>gen_cov_filelist</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions>
<socgen:envIdentifier>:*Lint:*</socgen:envIdentifier>
</spirit:vendorExtensions>
<spirit:generatorExe>./tools/sys/gen_child_filelist</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>top_file</spirit:name>
<spirit:value>"-v ./TestBench"</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>top</spirit:name>
</spirit:parameter>
<spirit:parameter>
<spirit:name>suffix</spirit:name>
<spirit:value>COV</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>leader</spirit:name>
<spirit:value>"-v "</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
</ipxact:vendorExtensions>
<ipxact:generatorExe>tools/sys/gen_child_filelist</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>top_file</ipxact:name>
<ipxact:value>"-v ./TestBench"</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>top</ipxact:name>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>suffix</ipxact:name>
<ipxact:value>COV</ipxact:value>
</ipxact:parameter>
<ipxact:parameter>
<ipxact:name>leader</ipxact:name>
<ipxact:value>"-v "</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
94,35 → 94,35
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_syn</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>syn</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_lint</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>lint</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_lint</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Lint:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>lint</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
130,37 → 130,37
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/lint/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/lint/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
167,7 → 167,7
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
177,85 → 177,94
 
 
 
<spirit:model>
<ipxact:model>
 
<spirit:modelParameters>
<spirit:modelParameter><spirit:name>PERIOD</spirit:name><spirit:value>40</spirit:value></spirit:modelParameter>
<spirit:modelParameter><spirit:name>TIMEOUT</spirit:name><spirit:value>100000</spirit:value></spirit:modelParameter>
</spirit:modelParameters>
<ipxact:modelParameters>
<ipxact:modelParameter><ipxact:name>PERIOD</ipxact:name><ipxact:value>40</ipxact:value></ipxact:modelParameter>
<ipxact:modelParameter><ipxact:name>TIMEOUT</ipxact:name><ipxact:value>100000</ipxact:value></ipxact:modelParameter>
</ipxact:modelParameters>
 
<spirit:views>
<ipxact:instantiations>
<ipxact:designInstantiation>
<ipxact:name>Bfm</ipxact:name>
<ipxact:designRef vendor="opencores.org" library="Testbench" name="clock_gen" version="bfm.design"/>
</ipxact:designInstantiation>
</ipxact:instantiations>
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="clock_gen"
spirit:version="bfm.design"/>
</spirit:view>
 
 
<spirit:view>
<spirit:name>syn</spirit:name>
<spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-syn</spirit:localName></spirit:fileSetRef>
</spirit:view>
 
<ipxact:views>
 
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:designInstantiationRef>Bfm</ipxact:designInstantiationRef>
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
</ipxact:view>
 
 
<ipxact:view>
<ipxact:name>syn</ipxact:name>
<ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-syn</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
</spirit:views>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:ports>
 
<spirit:port><spirit:name>clk</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
 
<spirit:port><spirit:name>START</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>wire</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>in</spirit:direction></spirit:wire>
</spirit:port>
</ipxact:views>
 
 
<spirit:port><spirit:name>FAIL</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
 
<ipxact:ports>
 
<spirit:port><spirit:name>FINISH</spirit:name>
<spirit:wireTypeDefs><spirit:wireTypeDef><spirit:typeName>reg</spirit:typeName></spirit:wireTypeDef></spirit:wireTypeDefs>
<spirit:wire><spirit:direction>out</spirit:direction></spirit:wire>
</spirit:port>
<ipxact:port><ipxact:name>clk</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:ports>
<ipxact:port><ipxact:name>START</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>wire</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>in</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
</spirit:model>
<ipxact:port><ipxact:name>FAIL</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
 
<ipxact:port><ipxact:name>FINISH</ipxact:name>
<ipxact:wireTypeDefs><ipxact:wireTypeDef><ipxact:typeName>reg</ipxact:typeName></ipxact:wireTypeDef></ipxact:wireTypeDefs>
<ipxact:wire><ipxact:direction>out</ipxact:direction></ipxact:wire>
</ipxact:port>
 
</spirit:component>
</ipxact:ports>
 
 
</ipxact:model>
 
 
 
</ipxact:component>
 
 
 
 
 
/toolflow/xml/verilog.xml
27,50 → 27,50
// from http://www.opencores.org/lgpl.shtml //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>Testbench</spirit:library>
<spirit:name>toolflow</spirit:name>
<spirit:version>verilog</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>Testbench</ipxact:library>
<ipxact:name>toolflow</ipxact:name>
<ipxact:version>verilog</ipxact:version>
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_sim</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>sim</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_sim</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Simulation:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>sim</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilogLib_syn</spirit:name>
<spirit:phase>105.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilogLib</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>view</spirit:name>
<spirit:value>syn</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilogLib_syn</ipxact:name>
<ipxact:phase>105.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*Synthesis:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilogLib</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>view</ipxact:name>
<ipxact:value>syn</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
83,54 → 83,54
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/sim/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/sim/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
<spirit:fileSet>
<spirit:name>fs-syn</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-syn</ipxact:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
 
<spirit:file>
<spirit:logicalName>dest_dir</spirit:logicalName>
<spirit:name>../views/syn/</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>libraryDir</spirit:userFileType>
</spirit:file>
<ipxact:file>
<ipxact:logicalName>dest_dir</ipxact:logicalName>
<ipxact:name>../views/syn/</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>libraryDir</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
137,7 → 137,7
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
144,42 → 144,42
 
 
<spirit:model>
<ipxact:model>
 
 
 
 
<spirit:views>
<ipxact:views>
 
 
 
 
<spirit:view>
<spirit:name>sim</spirit:name>
<spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-sim</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name>
<ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-sim</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>syn</spirit:name>
<spirit:envIdentifier>:*Synthesis:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-syn</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>syn</ipxact:name>
<ipxact:envIdentifier>:*Synthesis:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-syn</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
188,13 → 188,13
 
 
 
</spirit:views>
</ipxact:views>
 
 
 
</spirit:model>
</ipxact:model>
 
</spirit:component>
</ipxact:component>
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.