OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /socgen/trunk/common/opencores.org/cde/ip/fifo/sim/testbenches/xml
    from Rev 134 to Rev 135
    Reverse comparison

Rev 134 → Rev 135

/cde_fifo_bfm.design.xml
2,17 → 2,17
<!--
 
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>cde</spirit:library>
<spirit:name>fifo</spirit:name>
<spirit:version>bfm.design</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>cde</ipxact:library>
<ipxact:name>fifo</ipxact:name>
<ipxact:version>bfm.design</ipxact:version>
 
 
 
22,84 → 22,57
 
 
 
<spirit:adHocConnections>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="read" spirit:portRef="clk"/>
<spirit:internalPortReference spirit:componentRef="write" spirit:portRef="clk"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk"/>
<ipxact:internalPortReference componentRef="read" portRef="clk"/>
<ipxact:internalPortReference componentRef="write" portRef="clk"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="read" spirit:portRef="reset"/>
<spirit:internalPortReference spirit:componentRef="write" spirit:portRef="reset"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset"/>
<ipxact:internalPortReference componentRef="read" portRef="reset"/>
<ipxact:internalPortReference componentRef="write" portRef="reset"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>pop</spirit:name>
<spirit:externalPortReference spirit:portRef="pop"/>
<spirit:internalPortReference spirit:componentRef="read" spirit:portRef="rd"/>
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>pop</ipxact:name>
<ipxact:externalPortReference portRef="pop"/>
<ipxact:internalPortReference componentRef="read" portRef="rd"/>
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>push</spirit:name>
<spirit:externalPortReference spirit:portRef="push"/>
<spirit:internalPortReference spirit:componentRef="write" spirit:portRef="wr"/>
</spirit:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>din</spirit:name>
<spirit:externalPortReference spirit:portRef="din" spirit:left="7" spirit:right="0"/>
<spirit:internalPortReference spirit:componentRef="write" spirit:portRef="wdata" />
</spirit:adHocConnection>
 
 
<ipxact:adHocConnection>
<ipxact:name>dout</ipxact:name>
<ipxact:externalPortReference portRef="dout" left="7" right="0" />
<ipxact:internalPortReference componentRef="read" portRef="rdata" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dout</spirit:name>
<spirit:externalPortReference spirit:portRef="dout" spirit:left="7" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="read" spirit:portRef="rdata" />
</spirit:adHocConnection>
 
 
 
 
</ipxact:adHocConnections>
 
</spirit:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstances>
 
 
 
<spirit:componentInstance>
<spirit:instanceName>write</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="micro_bus_model" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_width">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
 
 
<spirit:componentInstance>
<spirit:instanceName>read</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="Testbench" spirit:name="micro_bus_model" spirit:version="def"/>
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="addr_width">8</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
 
 
 
 
</ipxact:componentInstances>
 
 
 
</spirit:componentInstances>
 
 
 
 
</spirit:design>
</ipxact:design>
/cde_fifo_def_dut.params.xml
6,29 → 6,29
// //
// //
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>cde</spirit:library>
<spirit:name>fifo</spirit:name>
<spirit:version>def_dut.params</spirit:version>
<spirit:model>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>cde</ipxact:library>
<ipxact:name>fifo</ipxact:name>
<ipxact:version>def_dut.params</ipxact:version>
<ipxact:model>
 
<spirit:views>
<ipxact:views>
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:envIdentifier></spirit:envIdentifier>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="cde"
spirit:name="fifo"
spirit:version="def_duth.design"/>
</spirit:view>
</spirit:views>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:envIdentifier></ipxact:envIdentifier>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="cde"
ipxact:name="fifo"
ipxact:version="def_duth.design"/>
</ipxact:view>
</ipxact:views>
 
</spirit:model>
</spirit:component>
</ipxact:model>
</ipxact:component>
/cde_fifo_def_lint.xml
2,70 → 2,70
<!--
 
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>cde</spirit:library>
<spirit:name>fifo</spirit:name>
<spirit:version>def_lint</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>cde</ipxact:library>
<ipxact:name>fifo</ipxact:name>
<ipxact:version>def_lint</ipxact:version>
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
 
 
<spirit:model>
<ipxact:model>
 
 
<spirit:views>
<ipxact:views>
 
<spirit:view>
<spirit:name>Dut</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="cde"
spirit:name="fifo"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Dut</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="cde"
ipxact:name="fifo"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>lint</spirit:name>
<spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:fileSetRef><spirit:localName>fs-lint</spirit:localName></spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name>
<ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:fileSetRef><ipxact:localName>fs-lint</ipxact:localName></ipxact:fileSetRef>
</ipxact:view>
 
 
 
<spirit:view>
<spirit:name>rtl_check</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="rtl_check"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>rtl_check</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="rtl_check"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
</spirit:views>
</ipxact:views>
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
74,29 → 74,29
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/lint/fifo_def_lint</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType>
<spirit:userFileType>module</spirit:userFileType>
</spirit:file>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/lint/fifo_def_lint</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType>
<ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
 
</spirit:fileSet>
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
</ipxact:fileSets>
 
 
 
 
 
</spirit:component>
</ipxact:component>
/cde_fifo_def_tb.xml
2,42 → 2,42
<!--
 
-->
<spirit:component
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:component
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>cde</spirit:library>
<spirit:name>fifo</spirit:name>
<spirit:version>def_tb</spirit:version>
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>cde</ipxact:library>
<ipxact:name>fifo</ipxact:name>
<ipxact:version>def_tb</ipxact:version>
 
 
 
<spirit:componentGenerators>
<ipxact:componentGenerators>
 
 
 
 
<spirit:componentGenerator>
<spirit:name>gen_verilog</spirit:name>
<spirit:phase>104.0</spirit:phase>
<spirit:apiType>none</spirit:apiType>
<spirit:vendorExtensions><socgen:envIdentifier>common</socgen:envIdentifier></spirit:vendorExtensions>
<spirit:generatorExe>./tools/verilog/gen_verilog</spirit:generatorExe>
<spirit:parameters>
<spirit:parameter>
<spirit:name>destination</spirit:name>
<spirit:value>fifo_def_tb</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:componentGenerator>
<ipxact:componentGenerator>
<ipxact:name>gen_verilog</ipxact:name>
<ipxact:phase>104.0</ipxact:phase>
<ipxact:apiType>none</ipxact:apiType>
<ipxact:vendorExtensions><socgen:envIdentifier>:*common:*</socgen:envIdentifier></ipxact:vendorExtensions>
<ipxact:generatorExe>tools/verilog/gen_verilog</ipxact:generatorExe>
<ipxact:parameters>
<ipxact:parameter>
<ipxact:name>destination</ipxact:name>
<ipxact:value>fifo_def_tb</ipxact:value>
</ipxact:parameter>
</ipxact:parameters>
</ipxact:componentGenerator>
 
 
 
</spirit:componentGenerators>
</ipxact:componentGenerators>
 
 
 
45,128 → 45,135
 
 
 
<spirit:model>
<spirit:modelParameters>
</spirit:modelParameters>
<ipxact:model>
<ipxact:modelParameters>
</ipxact:modelParameters>
 
<spirit:views>
<ipxact:views>
 
<spirit:view>
<spirit:name>Params</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="cde"
spirit:name="fifo"
spirit:version="def_dut.params"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>Params</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="cde"
ipxact:name="fifo"
ipxact:version="def_dut.params"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>Bfm</spirit:name>
<spirit:hierarchyRef spirit:vendor="opencores.org"
spirit:library="cde"
spirit:name="fifo"
spirit:version="bfm.design"/>
</spirit:view>
<ipxact:view>
<ipxact:name>Bfm</ipxact:name>
<ipxact:hierarchyRef ipxact:vendor="opencores.org"
ipxact:library="cde"
ipxact:name="fifo"
ipxact:version="bfm.design"/>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>icarus</spirit:name>
<spirit:vendorExtensions>
<spirit:componentRef spirit:vendor="opencores.org"
spirit:library="Testbench"
spirit:name="toolflow"
spirit:version="icarus"/>
</spirit:vendorExtensions>
</spirit:view>
<ipxact:view>
<ipxact:name>icarus</ipxact:name>
<ipxact:vendorExtensions>
<ipxact:componentRef ipxact:vendor="opencores.org"
ipxact:library="Testbench"
ipxact:name="toolflow"
ipxact:version="icarus"/>
</ipxact:vendorExtensions>
</ipxact:view>
 
 
 
 
<spirit:view>
<spirit:name>common</spirit:name><spirit:envIdentifier>common</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-common</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>common</ipxact:name><ipxact:envIdentifier>:*common:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-common</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
 
<spirit:view>
<spirit:name>sim</spirit:name><spirit:envIdentifier>:*Simulation:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-sim</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>sim</ipxact:name><ipxact:envIdentifier>:*Simulation:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-sim</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
<spirit:view>
<spirit:name>lint</spirit:name><spirit:envIdentifier>:*Lint:*</spirit:envIdentifier>
<spirit:language>Verilog</spirit:language>
<spirit:modelName></spirit:modelName>
<spirit:fileSetRef>
<spirit:localName>fs-lint</spirit:localName>
</spirit:fileSetRef>
</spirit:view>
<ipxact:view>
<ipxact:name>lint</ipxact:name><ipxact:envIdentifier>:*Lint:*</ipxact:envIdentifier>
<ipxact:language>Verilog</ipxact:language>
<ipxact:modelName></ipxact:modelName>
<ipxact:fileSetRef>
<ipxact:localName>fs-lint</ipxact:localName>
</ipxact:fileSetRef>
</ipxact:view>
 
</spirit:views>
</ipxact:views>
 
 
 
 
</spirit:model>
</ipxact:model>
 
 
 
 
 
<spirit:fileSets>
<ipxact:fileSets>
 
 
<spirit:fileSet>
<spirit:name>fs-common</spirit:name>
<ipxact:fileSet>
<ipxact:name>fs-common</ipxact:name>
 
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>fragment</ipxact:userFileType>
</ipxact:file>
 
</spirit:fileSet>
 
 
<spirit:fileSet>
<spirit:name>fs-sim</spirit:name>
</ipxact:fileSet>
 
 
<ipxact:fileSet>
<ipxact:name>fs-sim</ipxact:name>
 
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/fifo_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
 
 
</spirit:fileSet>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/fifo_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
<spirit:fileSet>
<spirit:name>fs-lint</spirit:name>
<spirit:file>
<spirit:logicalName></spirit:logicalName>
<spirit:name>../verilog/common/fifo_def_tb</spirit:name>
<spirit:fileType>verilogSource</spirit:fileType><spirit:userFileType>module</spirit:userFileType>
</spirit:file>
</ipxact:fileSet>
 
 
</spirit:fileSet>
<ipxact:fileSet>
<ipxact:name>fs-lint</ipxact:name>
<ipxact:file>
<ipxact:logicalName></ipxact:logicalName>
<ipxact:name>../verilog/common/fifo_def_tb</ipxact:name>
<ipxact:fileType>verilogSource</ipxact:fileType><ipxact:userFileType>module</ipxact:userFileType>
</ipxact:file>
 
 
</ipxact:fileSet>
 
 
 
</spirit:fileSets>
 
 
</ipxact:fileSets>
 
 
 
</spirit:component>
 
 
</ipxact:component>
/fifo_def_duth.design.xml
6,90 → 6,90
// ./tools/verilog/gen_tb -vendor opencores.org -library cde -component fifo -version def //
// //
-->
<spirit:design
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
<ipxact:design
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
<spirit:vendor>opencores.org</spirit:vendor>
<spirit:library>cde</spirit:library>
<spirit:name>fifo</spirit:name>
<spirit:version>def_duth.design</spirit:version>
<spirit:adHocConnections>
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
<ipxact:vendor>opencores.org</ipxact:vendor>
<ipxact:library>cde</ipxact:library>
<ipxact:name>fifo</ipxact:name>
<ipxact:version>def_duth.design</ipxact:version>
<ipxact:adHocConnections>
 
<spirit:adHocConnection>
<spirit:name>clk</spirit:name>
<spirit:externalPortReference spirit:portRef="clk" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="clk" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>clk</ipxact:name>
<ipxact:externalPortReference portRef="clk" />
<ipxact:internalPortReference componentRef="dut" portRef="clk" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>din</spirit:name>
<spirit:externalPortReference spirit:portRef="din" spirit:left="WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="din" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>din</ipxact:name>
<ipxact:externalPortReference portRef="din" left="WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="din" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>dout</spirit:name>
<spirit:externalPortReference spirit:portRef="dout" spirit:left="WIDTH-1" spirit:right="0" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="dout" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>dout</ipxact:name>
<ipxact:externalPortReference portRef="dout" left="WIDTH-1" right="0" />
<ipxact:internalPortReference componentRef="dut" portRef="dout" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>empty</spirit:name>
<spirit:externalPortReference spirit:portRef="empty" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="empty" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>empty</ipxact:name>
<ipxact:externalPortReference portRef="empty" />
<ipxact:internalPortReference componentRef="dut" portRef="empty" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>full</spirit:name>
<spirit:externalPortReference spirit:portRef="full" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="full" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>full</ipxact:name>
<ipxact:externalPortReference portRef="full" />
<ipxact:internalPortReference componentRef="dut" portRef="full" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>over_run</spirit:name>
<spirit:externalPortReference spirit:portRef="over_run" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="over_run" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>over_run</ipxact:name>
<ipxact:externalPortReference portRef="over_run" />
<ipxact:internalPortReference componentRef="dut" portRef="over_run" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>pop</spirit:name>
<spirit:externalPortReference spirit:portRef="pop" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="pop" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>pop</ipxact:name>
<ipxact:externalPortReference portRef="pop" />
<ipxact:internalPortReference componentRef="dut" portRef="pop" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>push</spirit:name>
<spirit:externalPortReference spirit:portRef="push" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="push" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>push</ipxact:name>
<ipxact:externalPortReference portRef="push" />
<ipxact:internalPortReference componentRef="dut" portRef="push" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>reset</spirit:name>
<spirit:externalPortReference spirit:portRef="reset" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="reset" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>reset</ipxact:name>
<ipxact:externalPortReference portRef="reset" />
<ipxact:internalPortReference componentRef="dut" portRef="reset" />
</ipxact:adHocConnection>
 
<spirit:adHocConnection>
<spirit:name>under_run</spirit:name>
<spirit:externalPortReference spirit:portRef="under_run" />
<spirit:internalPortReference spirit:componentRef="dut" spirit:portRef="under_run" />
</spirit:adHocConnection>
<ipxact:adHocConnection>
<ipxact:name>under_run</ipxact:name>
<ipxact:externalPortReference portRef="under_run" />
<ipxact:internalPortReference componentRef="dut" portRef="under_run" />
</ipxact:adHocConnection>
 
 
</spirit:adHocConnections>
<spirit:componentInstances>
</ipxact:adHocConnections>
<ipxact:componentInstances>
 
<spirit:componentInstance>
<spirit:instanceName>dut</spirit:instanceName>
<spirit:componentRef spirit:vendor="opencores.org" spirit:library="cde" spirit:name="fifo" spirit:version="def" />
<spirit:configurableElementValues>
<spirit:configurableElementValue spirit:referenceId="SIZE">SIZE</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WIDTH">WIDTH</spirit:configurableElementValue>
<spirit:configurableElementValue spirit:referenceId="WORDS">WORDS</spirit:configurableElementValue>
</spirit:configurableElementValues>
</spirit:componentInstance>
</spirit:componentInstances>
</spirit:design>
<ipxact:componentInstance>
<ipxact:instanceName>dut</ipxact:instanceName>
<ipxact:componentRef vendor="opencores.org" library="cde" name="fifo" version="def" />
<ipxact:configurableElementValues>
<ipxact:configurableElementValue referenceId="SIZE">SIZE</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WIDTH">WIDTH</ipxact:configurableElementValue>
<ipxact:configurableElementValue referenceId="WORDS">WORDS</ipxact:configurableElementValue>
</ipxact:configurableElementValues>
</ipxact:componentInstance>
</ipxact:componentInstances>
</ipxact:design>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.