OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /socgen/trunk/tools/bin
    from Rev 126 to Rev 128
    Reverse comparison

Rev 126 → Rev 128

/Makefile.root
24,7 → 24,7
lint:
echo "################################################################################"; \
echo; \
verilator -Wall --cc -f filelist.ver --exe ../../../../../../../tools/lint/sim_main2.cpp -top-module TB -Wno-PINNOCONNECT -Wno-DECLFILENAME 2> lint.log;\
verilator -Wall --cc -f ../../testbenches/filelists/$(comp).lint --exe ../../../../../../../tools/lint/sim_main2.cpp -top-module TB -Wno-PINNOCONNECT -Wno-DECLFILENAME -Wno-UNDRIVEN -Wno-UNUSED -Wno-PINMISSING 2> lint.log;\
echo "################################################################################"; \
 
 
34,109 → 34,18
verilator:
echo "################################################################################"; \
echo; \
verilator --trace --cc -f filelist.sim ./TestBench --exe ../../../../../../../tools/lint/sim_main2.cpp -top-module TB -Wno-PINNOCONNECT -Wno-DECLFILENAME 2> verilator_sim.log;\
verilator --trace --cc -f ../../testbenches/filelists/$(comp).syn --exe ../../../../../../../tools/lint/sim_main2.cpp -top-module TB -Wno-PINNOCONNECT -Wno-DECLFILENAME -Wno-UNDRIVEN -Wno-UNUSED -Wno-PINMISSING 2> $(test)_elab.log;\
make -j -C obj_dir/ -f VTB.mk VTB;\
obj_dir/VTB $(timeout) ;\
obj_dir/VTB $(timeout) 2 > $(test)_sim.log;\
grep PASSED ./${test}_sim.log ;\
echo "################################################################################"; \
 
 
 
 
 
 
################################################################################
# preform linting for each variant
################################################################################
 
 
 
.PHONY build_lint:
build_lint:
@for VARIANT in `ls $(CUR_DIR)/../lint`; do \
echo "################################################################################"; \
echo; \
echo "Linting: $$VARIANT ####"; echo; \
cd $(CUR_DIR)/../lint/$$VARIANT/;\
rm -f *.log;\
rm -f obj_dir;\
verilator -Wall --cc -f filelist.ver --exe ../../../../../../../tools/lint/sim_main2.cpp -top-module TB -Wno-PINNOCONNECT -Wno-DECLFILENAME 2> lint.log;\
cd $(CUR_DIR) ;\
done; \
 
 
 
 
################################################################################
# run simulation suite
################################################################################
 
 
.PHONY clean_sims:
clean_sims:
(\
rm -f $(CUR_DIR)/../out/*;\
rm -f $(CUR_DIR)/../log/*;\
)
 
 
################################################################################
# set up coverage for each variant
################################################################################
 
 
 
.PHONY build_cov:
build_cov:
@for VARIANT in `ls $(CUR_DIR)/../cov`; do \
echo "################################################################################"; \
echo; \
echo "Build_Cov: $$VARIANT ####"; echo; \
cd $(CUR_DIR)/../cov/$$VARIANT/;\
rm -f *.log;\
$(VPP_NAME) --noline --noblank -DSYNTHESIS ./TestBench > coverage.v ;\
make build_cdd ;\
cd $(CUR_DIR) ;\
done; \
 
################################################################################
# run coverage report after all sims
################################################################################
 
 
 
.PHONY print_cov:
print_cov:
@for VARIANT in `ls $(CUR_DIR)/../cov`; do \
echo "################################################################################"; \
echo; \
echo "Report Coverage: $$VARIANT ####"; echo; \
cd $(CUR_DIR)/../cov/$$VARIANT/;\
make report_cov;\
cd $(CUR_DIR) ;\
done; \
 
 
 
 
.PHONY run_sims:
run_sims: clean_sims build_lint
@for VARIANT_PROG in `ls $(CUR_DIR)/../icarus`; do \
echo "################################################################################"; \
echo; \
echo "Simulating: $$VARIANT_PROG ####"; echo; \
cd $(CUR_DIR)/../icarus/$$VARIANT_PROG/;\
make sim;\
done; \
 
 
################################################################################
# run each sim and then add coverage
################################################################################
 
.PHONY sim:
sim:
(\
$(VERILOG_NAME) -f filelist.sim -D VCD TestBench 2> ./${test}_elab.log | tee >> ./${test}_elab.log;\
$(VERILOG_NAME) -f ../../testbenches/filelists/$(comp).sim -D VCD 2> ./${test}_elab.log | tee >> ./${test}_elab.log;\
./a.out 2> ./${test}_sim.log | tee >> ./${test}_sim.log ;\
grep PASSED ./${test}_sim.log ;\
rm a.out;\
145,53 → 54,17
 
 
 
.PHONY run_coverage:
run_coverage: build_cov
@for VARIANT_PROG in `ls $(CUR_DIR)/../icarus`; do \
echo "################################################################################"; \
echo; \
echo "covering: $$VARIANT_PROG ####"; echo; \
cd $(CUR_DIR)/../icarus/$$VARIANT_PROG/;\
make coverage;\
done; \
 
 
 
.PHONY run_coverage_ver:
run_coverage_ver:
@for VARIANT_PROG in `ls $(CUR_DIR)/../verilator`; do \
echo "################################################################################"; \
echo; \
echo "covering: $$VARIANT_PROG ####"; echo; \
cd $(CUR_DIR)/../verilator/$$VARIANT_PROG/;\
make coverage_ver;\
done; \
 
 
 
 
 
 
################################################################################
# run each sim and then add coverage
#
################################################################################
 
.PHONY coverage:
coverage:
(\
cd ../../cov/${comp};\
make score_cov TEST=${test} ;\
)
 
 
.PHONY coverage_ver:
coverage_ver:
(\
cd ../../cov/${comp};\
make score_cov_ver TEST=${test} ;\
)
 
 
PHONY: fpga
fpga:
(\
276,30 → 149,4
 
 
 
.PHONY group_run_sims:
group_run_sims:
@for COMP in `ls $(CUR_DIR)/../ip`; do \
echo "################################################"; \
echo; \
echo "Linking: $$COMP ####"; echo; \
cd $(CUR_DIR)/../ip/$$COMP/sim/bin;\
make run_sims COMP=$$COMP ;\
done; \
 
 
 
.PHONY group_run_coverage:
group_run_coverage:
@for COMP in `ls $(CUR_DIR)/../ip`; do \
echo "################################################"; \
echo; \
echo "Linking: $$COMP ####"; echo; \
cd $(CUR_DIR)/../ip/$$COMP/sim/bin;\
make run_coverage COMP=$$COMP ;\
make run_coverage_ver COMP=$$COMP ;\
make print_cov ;\
done; \
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.