OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /spacewiresystemc/trunk/testbench
    from Rev 7 to Rev 12
    Reverse comparison

Rev 7 → Rev 12

/module_tb.v
150,6 → 150,7
$dumpvars(0,module_tb);
$global_init;
i=0;
time_clk_ns = 500;
end
 
initial PCLK = 1'b0;
156,7 → 157,7
always #(5) PCLK = ~PCLK;
 
initial PPLLCLK = 1'b0;
always #(100) PPLLCLK = ~PPLLCLK;
always #(time_clk_ns/2) PPLLCLK = ~PPLLCLK;
 
initial CLK_SIM = 1'b0;
always #(1) CLK_SIM = ~CLK_SIM;
198,15 → 199,12
.top_fsm(TOP_FSM)
);
 
 
 
 
//
always@(posedge PCLK)
$write_tx_fsm_spw_ultra_light;
 
//
always@(posedge PCLK)
always@(posedge PCLK or posedge TOP_TX_READY)
$write_tx_data_spw_ultra_light;
 
always@(posedge PCLK)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.