OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /srdydrdy_lib/trunk/examples/bridge/rtl
    from Rev 12 to Rev 13
    Reverse comparison

Rev 12 → Rev 13

/port_ring_tap_fsm.v
39,12 → 39,14
s_rfwd = 1,
s_rcopy = 2,
s_rsink = 3,
s_tdata = 4;
s_tdata = 4,
s_tdrop = 5;
localparam ns_idle = 1,
ns_rfwd = 2,
ns_rcopy = 4,
ns_rsink = 8,
ns_tdata = 16;
ns_tdata = 16,
ns_tdrop = 32;
 
assign rarb_req = lfli_srdy & lprx_srdy | state[s_tdata];
77,7 → 79,10
end
end
else
lfli_drdy = 1;
begin
lfli_drdy = 1;
nxt_state = ns_tdrop;
end
end
else if (lri_srdy)
begin
131,6 → 136,19
end
end // case: state[s_tdata]
 
// received lookup from FIB with zero port index; drop
// the packet by reading out
state[s_tdrop] :
begin
lprx_drdy = 1;
if (lprx_srdy)
begin
if ((lprx_data[`PRW_PCC] == `PCC_EOP) |
(lprx_data[`PRW_PCC] == `PCC_BADEOP))
nxt_state = ns_idle;
end
end
 
// data on ring is for our port as well as further ports
// copy ring data to our TX buffer as well as on the ring
state[s_rcopy] :
/egr_oflow.v
1,5 → 1,5
module egr_oflow
#(parameter drop_thr=`TX_FIFO_DEPTH-10)
#(parameter drop_thr=`TX_FIFO_DEPTH-128)
(
input clk,
input reset,
60,7 → 60,7
p_commit = 1;
nxt_state = s_idle;
end
else if (tx_usage >= drop_thr)
else if (!p_drdy | (tx_usage >= drop_thr))
begin
c_drdy = 1;
nxt_state = s_idle;
/fib_lookup_fsm.v
102,7 → 102,7
end
else
begin
lout_data = 1 << ft_rdata[`FIB_PORT];
lout_data = (1 << ft_rdata[`FIB_PORT]) & ~source_port_mask;
end
lout_srdy = 1;
/bridge.vh
38,8 → 38,8
`define PFW_SZ 69
 
// Port FIFO sizes
`define RX_FIFO_DEPTH 64
`define TX_FIFO_DEPTH 256
`define RX_FIFO_DEPTH 256
`define TX_FIFO_DEPTH 1024
 
`define RX_USG_SZ $clog2(`RX_FIFO_DEPTH)+1
`define TX_USG_SZ $clog2(`TX_FIFO_DEPTH)+1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.