OpenCores
URL https://opencores.org/ocsvn/srdydrdy_lib/srdydrdy_lib/trunk

Subversion Repositories srdydrdy_lib

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /srdydrdy_lib/trunk/examples/bridge
    from Rev 19 to Rev 21
    Reverse comparison

Rev 19 → Rev 21

/rtl/ring_arb.v
8,23 → 8,42
);
integer i;
reg [`NUM_PORTS-1:0] nxt_rarb_ack;
reg [$clog2(`NUM_PORTS)-1:0] nxt_ack;
//reg [$clog2(`NUM_PORTS)-1:0] nxt_ack;
 
function [`NUM_PORTS-1:0] nxt_grant;
input [`NUM_PORTS-1:0] cur_grant;
input [`NUM_PORTS-1:0] cur_req;
reg [`NUM_PORTS-1:0] msk_req;
reg [`NUM_PORTS-1:0] tmp_grant;
begin
msk_req = cur_req & ~((cur_grant - 1) | cur_grant);
tmp_grant = msk_req & (~msk_req + 1);
 
if (msk_req != 0)
nxt_grant = tmp_grant;
else
nxt_grant = cur_req & (~cur_req + 1);
end
endfunction // if
 
//assign nxt_rarb_ack = nxt_grant (rarb_ack, rarb_req);
 
always @*
begin
nxt_rarb_ack = rarb_ack;
nxt_ack = 0;
 
if (rarb_req == 0)
nxt_rarb_ack = 0;
else if ((rarb_ack == 0) |
((rarb_req & rarb_ack) == 0))
else if ((rarb_req & rarb_ack) == 0)
begin
nxt_rarb_ack = nxt_grant (rarb_ack, rarb_req);
/* -----\/----- EXCLUDED -----\/-----
nxt_ack = 0;
for (i=`NUM_PORTS; i>0; i=i-1)
if (rarb_req[i-1])
nxt_ack = i-1;
nxt_rarb_ack = 1 << nxt_ack;
-----/\----- EXCLUDED -----/\----- */
end
end // always @ *
 
32,7 → 51,7
begin
if (reset)
rarb_ack <= #1 0;
else
else if ((rarb_req & rarb_ack) == 0)
rarb_ack <= #1 nxt_rarb_ack;
end
/rtl/bridge_ex1.v
50,11 → 50,11
wire [`PAR_DATA_SZ-1:0] p2f_data_1; // From p1 of port_macro.v
wire [`PAR_DATA_SZ-1:0] p2f_data_2; // From p2 of port_macro.v
wire [`PAR_DATA_SZ-1:0] p2f_data_3; // From p3 of port_macro.v
wire [`NUM_PORTS-1:0] p2f_drdy; // From fib_arb of sd_rrslow.v
wire [`NUM_PORTS-1:0] p2f_drdy; // From fib_arb of sd_rrmux.v
wire [3:0] p2f_srdy; // From p0 of port_macro.v, ...
wire [`PAR_DATA_SZ-1:0] ppi_data; // From fib_arb of sd_rrslow.v
wire [`PAR_DATA_SZ-1:0] ppi_data; // From fib_arb of sd_rrmux.v
wire ppi_drdy; // From fib_lookup of fib_lookup.v
wire ppi_srdy; // From fib_arb of sd_rrslow.v
wire ppi_srdy; // From fib_arb of sd_rrmux.v
wire [`NUM_PORTS-1:0] rarb_ack; // From ring_arb of ring_arb.v
wire [3:0] rarb_req; // From p0 of port_macro.v, ...
wire ri_drdy_0; // From p0 of port_macro.v
186,8 → 186,9
.ri_srdy (ri_srdy_3), // Templated
.ro_drdy (ri_drdy_0)); // Templated
 
/* sd_rrslow AUTO_TEMPLATE
/* sd_rrmux AUTO_TEMPLATE
(
.p_grant (),
.p_data (ppi_data[`PAR_DATA_SZ-1:0]),
.c_data ({p2f_data_3,p2f_data_2,p2f_data_1,p2f_data_0}),
.c_srdy (p2f_srdy[`NUM_PORTS-1:0]),
196,11 → 197,17
.p_\(.*\) (ppi_\1[]),
);
*/
sd_rrslow #(`PAR_DATA_SZ,`NUM_PORTS,0) fib_arb
sd_rrmux #(
// Parameters
.width (`PAR_DATA_SZ),
.inputs (`NUM_PORTS),
.mode (0),
.fast_arb (1)) fib_arb
(/*AUTOINST*/
// Outputs
.c_drdy (p2f_drdy[`NUM_PORTS-1:0]), // Templated
.p_data (ppi_data[`PAR_DATA_SZ-1:0]), // Templated
.p_grant (), // Templated
.p_srdy (ppi_srdy), // Templated
// Inputs
.clk (clk),
/env/tests/sample_test.v
21,7 → 21,7
#2000;
 
get_packet_count (pcount);
check_expected (9, pcount);
check_expected (6, pcount);
$display ("TEST: Received %d packets", pcount);
$finish;
end
/env/tests/overflow1.v
35,7 → 35,9
#10000;
 
get_packet_count (pcount);
check_expected (9, pcount);
//check_expected (9, pcount);
if (pcount <= 1900)
$display ("ERROR -- Should receive at least 1900 packets");
$display ("TEST: Received %d packets", pcount);
$finish;
end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.