OpenCores
URL https://opencores.org/ocsvn/t400/t400/trunk

Subversion Repositories t400

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t400/trunk/rtl/tech
    from Rev 176 to Rev 179
    Reverse comparison

Rev 176 → Rev 179

/t400_tech_comp_pack-p.vhd
1,6 → 1,6
-------------------------------------------------------------------------------
--
-- $Id: t400_tech_comp_pack-p.vhd,v 1.2 2006-06-05 20:31:00 arniml Exp $
-- $Id$
--
-- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org)
--
55,13 → 55,3
 
 
end t400_tech_comp_pack;
 
 
-------------------------------------------------------------------------------
-- File History:
--
-- $Log: not supported by cvs2svn $
-- Revision 1.1.1.1 2006/05/06 01:56:44 arniml
-- import from local CVS repository, LOC_CVS_0_1
--
-------------------------------------------------------------------------------
t400_tech_comp_pack-p.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: spartan/t400_por-c.vhd =================================================================== --- spartan/t400_por-c.vhd (revision 176) +++ spartan/t400_por-c.vhd (revision 179) @@ -1,5 +1,5 @@ ------------------------------------------------------------------------------- --- $Id: t400_por-c.vhd,v 1.1 2006-05-07 01:47:51 arniml Exp $ +-- $Id$ ------------------------------------------------------------------------------- configuration t400_por_rtl_c0 of t400_por is @@ -8,10 +8,3 @@ end for; end t400_por_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
spartan/t400_por-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: spartan/t400_por.vhd =================================================================== --- spartan/t400_por.vhd (revision 176) +++ spartan/t400_por.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Core -- --- $Id: t400_por.vhd,v 1.1 2006-05-07 01:47:51 arniml Exp $ +-- $Id$ -- -- Wrapper for technology dependent power-on reset circuitry. --
spartan/t400_por.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: cyclone/t400_por-c.vhd =================================================================== --- cyclone/t400_por-c.vhd (revision 176) +++ cyclone/t400_por-c.vhd (revision 179) @@ -1,5 +1,5 @@ ------------------------------------------------------------------------------- --- $Id: t400_por-c.vhd,v 1.2 2006-05-07 01:49:16 arniml Exp $ +-- $Id$ ------------------------------------------------------------------------------- configuration t400_por_rtl_c0 of t400_por is @@ -8,13 +8,3 @@ end for; end t400_por_rtl_c0; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --- Revision 1.1.1.1 2006/05/06 01:56:44 arniml --- import from local CVS repository, LOC_CVS_0_1 --- --------------------------------------------------------------------------------
cyclone/t400_por-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: cyclone/t400_por.vhd =================================================================== --- cyclone/t400_por.vhd (revision 176) +++ cyclone/t400_por.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- T400 Core -- --- $Id: t400_por.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Wrapper for technology dependent power-on reset circuitry. -- @@ -113,10 +113,3 @@ por_n_o <= por_n_q; end cyclone; - - -------------------------------------------------------------------------------- --- File History: --- --- $Log: not supported by cvs2svn $ --------------------------------------------------------------------------------
cyclone/t400_por.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: generic/generic_ram-c.vhd =================================================================== --- generic/generic_ram-c.vhd (revision 176) +++ generic/generic_ram-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM. -- --- $Id: generic_ram-c.vhd,v 1.1.1.1 2006-05-06 01:56:44 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
generic/generic_ram-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: generic/generic_ram.vhd =================================================================== --- generic/generic_ram.vhd (revision 176) +++ generic/generic_ram.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM. -- --- $Id: generic_ram.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) --
generic/generic_ram.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: generic/generic_ram_ena-c.vhd =================================================================== --- generic/generic_ram_ena-c.vhd (revision 176) +++ generic/generic_ram_ena-c.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena-c.vhd,v 1.1 2006-06-05 20:01:05 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006, Arnim Laeuger (arniml@opencores.org) --
generic/generic_ram_ena-c.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property Index: generic/generic_ram_ena.vhd =================================================================== --- generic/generic_ram_ena.vhd (revision 176) +++ generic/generic_ram_ena.vhd (revision 179) @@ -2,7 +2,7 @@ -- -- Parametrizable, generic RAM with enable. -- --- $Id: generic_ram_ena.vhd,v 1.3 2008-04-27 22:13:15 arniml Exp $ +-- $Id$ -- -- Copyright (c) 2006 Arnim Laeuger (arniml@opencores.org) --
generic/generic_ram_ena.vhd Property changes : Added: svn:keywords ## -0,0 +1 ## +Id \ No newline at end of property

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.