OpenCores
URL https://opencores.org/ocsvn/t6507lp/t6507lp/trunk

Subversion Repositories t6507lp

Compare Revisions

  • This comparison shows the changes necessary to convert path
    /t6507lp/trunk/rtl/verilog
    from Rev 141 to Rev 142
    Reverse comparison

Rev 141 → Rev 142

/t6507lp_alu.v
186,8 → 186,6
result = alu_result;
STATUS[C] = alu_status[C];
STATUS[V] = alu_status[V];
STATUS[Z] = (result == 0) ? 1 : 0;
STATUS[N] = result[7];
STATUS[5] = 1;
STATUS[B] = alu_status[B];
STATUS[I] = alu_status[I];
436,8 → 434,10
end
 
default: begin // NON-DEFAULT OPCODES FALL HERE
end
end
endcase
STATUS[Z] = (result == 0) ? 1 : 0;
STATUS[N] = result[7];
end
 
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.